aboutsummaryrefslogtreecommitdiffstats
path: root/epan/dissectors/packet-tuxedo.c
diff options
context:
space:
mode:
Diffstat (limited to 'epan/dissectors/packet-tuxedo.c')
-rw-r--r--epan/dissectors/packet-tuxedo.c89
1 files changed, 51 insertions, 38 deletions
diff --git a/epan/dissectors/packet-tuxedo.c b/epan/dissectors/packet-tuxedo.c
index 3fe3882b75..8d4cda3a6f 100644
--- a/epan/dissectors/packet-tuxedo.c
+++ b/epan/dissectors/packet-tuxedo.c
@@ -70,32 +70,32 @@ static dissector_handle_t tuxedo_handle;
static const value_string tuxedo_opcode_vals[] = {
- { TUXEDO_ATMI_CALL, "CALL" },
- { TUXEDO_ATMI_REPLY, "REPLY" },
- { TUXEDO_ATMI_FAILURE, "FAILURE" },
- { TUXEDO_ATMI_CONNECT, "CONNECT" },
- { TUXEDO_ATMI_DATA, "DATA" },
- { TUXEDO_ATMI_DISCON, "DISCON" },
- { TUXEDO_ATMI_PREPARE, "PREPARE" },
- { TUXEDO_ATMI_READY, "READY" },
- { TUXEDO_ATMI_COMMIT, "COMMIT" },
- { TUXEDO_ATMI_DONE, "DONE" },
- { TUXEDO_ATMI_COMPLETE, "COMPLETE" },
- { TUXEDO_ATMI_ROLLBACK, "ROLLBACK" },
- { TUXEDO_ATMI_HEURISTIC, "HEURISTIC" },
- { TUXEDO_ATMI_PRE_NW_ACALL1, "ACALL1" },
- { TUXEDO_ATMI_PRE_NW_ACALL1_RPLY, "ACALL1_REPLY" },
- { TUXEDO_ATMI_PRE_NW_ACALL2, "ACALL2" },
- { TUXEDO_ATMI_PRE_NW_ACALL2_RPLY, "ACALL2_REPLY" },
- { TUXEDO_ATMI_PRE_NW_ACALL3, "ACALL3" },
- { TUXEDO_ATMI_PRE_NW_ACALL3_RPLY, "ACALL3_REPLY" },
- { TUXEDO_ATMI_PRE_NW_LLE, "LLE" },
- { TUXEDO_ATMI_PRE_NW_LLE_RPLY, "LLE_REPLY" },
- { TUXEDO_ATMI_SEC_EXCHG_RQST, "SEC_EXCHANGE" },
- { TUXEDO_ATMI_SEC_EXCHG_RPLY, "SEC_EXCHANGE_REPLY" },
- { TUXEDO_ATMI_SEC_NW_ACALL3, "SEC_ACALL3" },
- { TUXEDO_ATMI_SEC_NW_ACALL3_RPLY, "SEC_ACALL3_REPLY" },
- { 0, NULL }
+ { TUXEDO_ATMI_CALL, "CALL" },
+ { TUXEDO_ATMI_REPLY, "REPLY" },
+ { TUXEDO_ATMI_FAILURE, "FAILURE" },
+ { TUXEDO_ATMI_CONNECT, "CONNECT" },
+ { TUXEDO_ATMI_DATA, "DATA" },
+ { TUXEDO_ATMI_DISCON, "DISCON" },
+ { TUXEDO_ATMI_PREPARE, "PREPARE" },
+ { TUXEDO_ATMI_READY, "READY" },
+ { TUXEDO_ATMI_COMMIT, "COMMIT" },
+ { TUXEDO_ATMI_DONE, "DONE" },
+ { TUXEDO_ATMI_COMPLETE, "COMPLETE" },
+ { TUXEDO_ATMI_ROLLBACK, "ROLLBACK" },
+ { TUXEDO_ATMI_HEURISTIC, "HEURISTIC" },
+ { TUXEDO_ATMI_PRE_NW_ACALL1, "ACALL1" },
+ { TUXEDO_ATMI_PRE_NW_ACALL1_RPLY, "ACALL1_REPLY" },
+ { TUXEDO_ATMI_PRE_NW_ACALL2, "ACALL2" },
+ { TUXEDO_ATMI_PRE_NW_ACALL2_RPLY, "ACALL2_REPLY" },
+ { TUXEDO_ATMI_PRE_NW_ACALL3, "ACALL3" },
+ { TUXEDO_ATMI_PRE_NW_ACALL3_RPLY, "ACALL3_REPLY" },
+ { TUXEDO_ATMI_PRE_NW_LLE, "LLE" },
+ { TUXEDO_ATMI_PRE_NW_LLE_RPLY, "LLE_REPLY" },
+ { TUXEDO_ATMI_SEC_EXCHG_RQST, "SEC_EXCHANGE" },
+ { TUXEDO_ATMI_SEC_EXCHG_RPLY, "SEC_EXCHANGE_REPLY" },
+ { TUXEDO_ATMI_SEC_NW_ACALL3, "SEC_ACALL3" },
+ { TUXEDO_ATMI_SEC_NW_ACALL3_RPLY, "SEC_ACALL3_REPLY" },
+ { 0, NULL }
};
@@ -163,21 +163,21 @@ dissect_tuxedo_heur(tvbuff_t *tvb, packet_info *pinfo, proto_tree *tree, void *d
void
proto_register_tuxedo(void)
{
- static hf_register_info hf[] = {
- { &hf_tuxedo_magic,
- { "Magic", "tuxedo.magic", FT_UINT32, BASE_HEX, NULL, 0x0, "TUXEDO magic", HFILL }},
+ static hf_register_info hf[] = {
+ { &hf_tuxedo_magic,
+ { "Magic", "tuxedo.magic", FT_UINT32, BASE_HEX, NULL, 0x0, "TUXEDO magic", HFILL }},
- { &hf_tuxedo_opcode,
- { "Opcode", "tuxedo.opcode", FT_UINT32, BASE_HEX, VALS(tuxedo_opcode_vals), 0x0, "TUXEDO opcode", HFILL }}
+ { &hf_tuxedo_opcode,
+ { "Opcode", "tuxedo.opcode", FT_UINT32, BASE_HEX, VALS(tuxedo_opcode_vals), 0x0, "TUXEDO opcode", HFILL }}
- };
- static gint *ett[] = {
- &ett_tuxedo,
- };
+ };
+ static gint *ett[] = {
+ &ett_tuxedo,
+ };
- proto_tuxedo = proto_register_protocol("BEA Tuxedo", "TUXEDO", "tuxedo");
- proto_register_field_array(proto_tuxedo, hf, array_length(hf));
- proto_register_subtree_array(ett, array_length(ett));
+ proto_tuxedo = proto_register_protocol("BEA Tuxedo", "TUXEDO", "tuxedo");
+ proto_register_field_array(proto_tuxedo, hf, array_length(hf));
+ proto_register_subtree_array(ett, array_length(ett));
}
@@ -189,3 +189,16 @@ proto_reg_handoff_tuxedo(void)
dissector_add_for_decode_as("tcp.port", tuxedo_handle);
heur_dissector_add("tcp", dissect_tuxedo_heur, proto_tuxedo);
}
+
+/*
+ * Editor modelines - http://www.wireshark.org/tools/modelines.html
+ *
+ * Local variables:
+ * c-basic-offset: 8
+ * tab-width: 8
+ * indent-tabs-mode: t
+ * End:
+ *
+ * vi: set shiftwidth=8 tabstop=8 noexpandtab:
+ * :indentSize=8:tabSize=8:noTabs=false:
+ */