aboutsummaryrefslogtreecommitdiffstats
path: root/packet-x11.c
diff options
context:
space:
mode:
authorguy <guy@f5534014-38df-0310-8fa8-9805f1628bb7>2001-07-16 05:16:58 +0000
committerguy <guy@f5534014-38df-0310-8fa8-9805f1628bb7>2001-07-16 05:16:58 +0000
commitef3dcfb5cc42c1b40a08504c3cdc089187271425 (patch)
treef69005a62bb0392c20abfb3cf5d0975c57c1a073 /packet-x11.c
parent39f6f982ce63bcf03d90f882fc27de434675d1bc (diff)
Convert a bunch of "proto_tree_add_bytes(tree, hf, tvb, offset, length,
tvb_get_ptr(tvb, offset, length))" calls to "proto_tree_add_item()" calls. Do the same, in "packet-iscsi.c" and "packet-mrdisc.c", for "proto_tree_add_uint()" and "proto_tree_add_boolean()" calls. git-svn-id: http://anonsvn.wireshark.org/wireshark/trunk@3726 f5534014-38df-0310-8fa8-9805f1628bb7
Diffstat (limited to 'packet-x11.c')
-rw-r--r--packet-x11.c6
1 files changed, 3 insertions, 3 deletions
diff --git a/packet-x11.c b/packet-x11.c
index a3633d1566..65dc933c5d 100644
--- a/packet-x11.c
+++ b/packet-x11.c
@@ -2,7 +2,7 @@
* Routines for X11 dissection
* Copyright 2000, Christophe Tronche <ch.tronche@computer.org>
*
- * $Id: packet-x11.c,v 1.21 2001/06/18 02:17:54 guy Exp $
+ * $Id: packet-x11.c,v 1.22 2001/07/16 05:16:58 guy Exp $
*
* Ethereal - Network traffic analyzer
* By Gerald Combs <gerald@ethereal.com>
@@ -825,7 +825,7 @@ static void listOfByte(tvbuff_t *tvb, int hf, int length)
length = next_offset - cur_offset;
}
if (length <= 0) length = 1;
- proto_tree_add_bytes(t, hf, tvb, cur_offset, length, tvb_get_ptr(tvb, cur_offset, length));
+ proto_tree_add_item(t, hf, tvb, cur_offset, length, little_endian);
cur_offset += length;
}
@@ -1126,7 +1126,7 @@ static void string16_with_buffer_preallocated(tvbuff_t *tvb, proto_tree *t,
proto_tree_add_string_format(t, hf, tvb, offset, length, tvb_get_ptr(tvb, offset, length), "%s: %s",
proto_registrar_get_nth(hf) -> name, *s);
} else
- proto_tree_add_bytes(t, hf_bytes, tvb, offset, length, tvb_get_ptr(tvb, offset, length));
+ proto_tree_add_item(t, hf_bytes, tvb, offset, length, little_endian);
}