aboutsummaryrefslogtreecommitdiffstats
path: root/epan
diff options
context:
space:
mode:
authorPascal Quantin <pascal@wireshark.org>2020-04-05 11:59:24 +0200
committerPascal Quantin <pascal@wireshark.org>2020-04-05 11:30:45 +0000
commitb72e53731def3319a77a1768eb86f286eaaa1365 (patch)
treef1cceac85da138b3f097d2f733dfe277aed6cdfb /epan
parentf627f850b5e67f228abaaeef695706d633bbbf8e (diff)
NR RRC: upgrade dissector to v15.9.0
Change-Id: I1f12cacd94bbd857824267a9c01ed7ab61f3ef9b Reviewed-on: https://code.wireshark.org/review/36713 Petri-Dish: Pascal Quantin <pascal@wireshark.org> Tested-by: Petri Dish Buildbot Reviewed-by: Pascal Quantin <pascal@wireshark.org>
Diffstat (limited to 'epan')
-rw-r--r--epan/dissectors/asn1/nr-rrc/NR-InterNodeDefinitions.asn24
-rw-r--r--epan/dissectors/asn1/nr-rrc/NR-RRC-Definitions.asn188
-rw-r--r--epan/dissectors/asn1/nr-rrc/NR-UE-Variables.asn4
-rw-r--r--epan/dissectors/asn1/nr-rrc/packet-nr-rrc-template.c2
-rw-r--r--epan/dissectors/packet-nr-rrc.c923
5 files changed, 835 insertions, 306 deletions
diff --git a/epan/dissectors/asn1/nr-rrc/NR-InterNodeDefinitions.asn b/epan/dissectors/asn1/nr-rrc/NR-InterNodeDefinitions.asn
index 316cafc5f8..7d35b4d95f 100644
--- a/epan/dissectors/asn1/nr-rrc/NR-InterNodeDefinitions.asn
+++ b/epan/dissectors/asn1/nr-rrc/NR-InterNodeDefinitions.asn
@@ -1,4 +1,4 @@
--- 3GPP TS 38.331 V15.8.0 (2019-12)
+-- 3GPP TS 38.331 V15.9.0 (2020-03)
NR-InterNodeDefinitions DEFINITIONS AUTOMATIC TAGS ::=
@@ -199,7 +199,13 @@ CG-Config-v1560-IEs ::= SEQUENCE {
cellForWhichToReportCGI-EUTRA EUTRA-PhysCellId
} OPTIONAL
} OPTIONAL,
- nonCriticalExtension SEQUENCE {} OPTIONAL
+ nonCriticalExtension CG-Config-v1590-IEs OPTIONAL
+}
+
+CG-Config-v1590-IEs ::= SEQUENCE {
+ scellFrequenciesSN-NR SEQUENCE (SIZE (1.. maxNrofServingCells-1)) OF ARFCN-ValueNR OPTIONAL,
+ scellFrequenciesSN-EUTRA SEQUENCE (SIZE (1.. maxNrofServingCells-1)) OF ARFCN-ValueEUTRA OPTIONAL,
+ nonCriticalExtension SEQUENCE {} OPTIONAL
}
PH-TypeListSCG ::= SEQUENCE (SIZE (1..maxNrofServingCells)) OF PH-InfoSCG
@@ -322,7 +328,12 @@ CG-ConfigInfo-v1560-IEs ::= SEQUENCE {
CG-ConfigInfo-v1570-IEs ::= SEQUENCE {
sftdFrequencyList-NR SFTD-FrequencyList-NR OPTIONAL,
sftdFrequencyList-EUTRA SFTD-FrequencyList-EUTRA OPTIONAL,
- nonCriticalExtension SEQUENCE {} OPTIONAL
+ nonCriticalExtension CG-ConfigInfo-v1590-IEs OPTIONAL
+}
+
+CG-ConfigInfo-v1590-IEs ::= SEQUENCE {
+ servFrequenciesMN-NR SEQUENCE (SIZE (1.. maxNrofServingCells-1)) OF ARFCN-ValueNR OPTIONAL,
+ nonCriticalExtension SEQUENCE {} OPTIONAL
}
SFTD-FrequencyList-NR ::= SEQUENCE (SIZE (1..maxCellSFTD)) OF ARFCN-ValueNR
@@ -341,13 +352,16 @@ ConfigRestrictInfoSCG ::= SEQUENCE {
upBound ServCellIndex
} OPTIONAL, -- Cond SN-AddMod
maxMeasFreqsSCG INTEGER(1..maxMeasFreqsMN) OPTIONAL,
--- TBD Late Drop: If maxMeasIdentitiesSCG is used needs to be decided after RAN4 replies to the LS on measurement requirements for MR-DC.
- maxMeasIdentitiesSCG-NR INTEGER(1..maxMeasIdentitiesMN) OPTIONAL,
+ dummy INTEGER(1..maxMeasIdentitiesMN) OPTIONAL,
...,
[[
selectedBandEntriesMNList SEQUENCE (SIZE (1..maxBandComb)) OF SelectedBandEntriesMN OPTIONAL,
pdcch-BlindDetectionSCG INTEGER (1..15) OPTIONAL,
maxNumberROHC-ContextSessionsSN INTEGER(0.. 16384) OPTIONAL
+ ]],
+ [[
+ maxIntraFreqMeasIdentitiesSCG INTEGER(1..maxMeasIdentitiesMN) OPTIONAL,
+ maxInterFreqMeasIdentitiesSCG INTEGER(1..maxMeasIdentitiesMN) OPTIONAL
]]
}
diff --git a/epan/dissectors/asn1/nr-rrc/NR-RRC-Definitions.asn b/epan/dissectors/asn1/nr-rrc/NR-RRC-Definitions.asn
index e01ec04520..76b587bead 100644
--- a/epan/dissectors/asn1/nr-rrc/NR-RRC-Definitions.asn
+++ b/epan/dissectors/asn1/nr-rrc/NR-RRC-Definitions.asn
@@ -1,4 +1,4 @@
--- 3GPP TS 38.331 V15.8.0 (2019-12)
+-- 3GPP TS 38.331 V15.9.0 (2020-03)
NR-RRC-Definitions DEFINITIONS AUTOMATIC TAGS ::=
@@ -774,8 +774,13 @@ SCGFailureInformation ::= SEQUENCE {
}
SCGFailureInformation-IEs ::= SEQUENCE {
- failureReportSCG FailureReportSCG OPTIONAL,
- nonCriticalExtension SEQUENCE {} OPTIONAL
+ failureReportSCG FailureReportSCG OPTIONAL,
+ nonCriticalExtension SCGFailureInformation-v1590-IEs OPTIONAL
+}
+
+SCGFailureInformation-v1590-IEs ::= SEQUENCE {
+ lateNonCriticalExtension OCTET STRING OPTIONAL,
+ nonCriticalExtension SEQUENCE {} OPTIONAL
}
FailureReportSCG ::= SEQUENCE {
@@ -804,7 +809,12 @@ SCGFailureInformationEUTRA ::= SEQUENCE {
SCGFailureInformationEUTRA-IEs ::= SEQUENCE {
failureReportSCG-EUTRA FailureReportSCG-EUTRA OPTIONAL,
- nonCriticalExtension SEQUENCE {} OPTIONAL
+ nonCriticalExtension SCGFailureInformationEUTRA-v1590-IEs OPTIONAL
+}
+
+SCGFailureInformationEUTRA-v1590-IEs ::= SEQUENCE {
+ lateNonCriticalExtension OCTET STRING OPTIONAL,
+ nonCriticalExtension SEQUENCE {} OPTIONAL
}
FailureReportSCG-EUTRA ::= SEQUENCE {
@@ -2735,7 +2745,7 @@ MeasResults ::= SEQUENCE {
measResultSFTD-NR MeasResultCellSFTD-NR OPTIONAL
]],
[[
- measResultCellListSFTD-NR MeasResultCellListSFTD-NR OPTIONAL
+ measResultCellListSFTD-NR MeasResultCellListSFTD-NR OPTIONAL
]]
}
@@ -2765,7 +2775,7 @@ MeasResultNR ::= SEQUENCE {
},
...,
[[
- cgi-Info CGI-InfoNR OPTIONAL
+ cgi-Info CGI-InfoNR OPTIONAL
]]
}
@@ -2846,7 +2856,7 @@ MeasResultList2NR ::= SEQUENCE (SIZE (1..maxFreq)) OF MeasResult2N
-- TAG-MEASRESULTSCG-FAILURE-STOP
-- TAG-MEASTRIGGERQUANTITYEUTRA-START
-MeasTriggerQuantityEUTRA::= CHOICE {
+MeasTriggerQuantityEUTRA::= CHOICE {
rsrp RSRP-RangeEUTRA,
rsrq RSRQ-RangeEUTRA,
sinr SINR-RangeEUTRA
@@ -2899,9 +2909,9 @@ NG-5G-S-TMSI ::= BIT STRING (SIZE (48))
NR-NS-PmaxList ::= SEQUENCE (SIZE (1..maxNR-NS-Pmax)) OF NR-NS-PmaxValue
-NR-NS-PmaxValue ::= SEQUENCE {
- additionalPmax P-Max OPTIONAL, -- Need N
- additionalSpectrumEmission AdditionalSpectrumEmission
+NR-NS-PmaxValue ::= SEQUENCE {
+ additionalPmax P-Max OPTIONAL, -- Need N
+ additionalSpectrumEmission AdditionalSpectrumEmission
}
-- TAG-NR-NS-PMAXLIST-STOP
@@ -5138,6 +5148,8 @@ BandCombinationList-v1570 ::= SEQUENCE (SIZE (1..maxBandComb)) OF BandComb
BandCombinationList-v1580 ::= SEQUENCE (SIZE (1..maxBandComb)) OF BandCombination-v1580
+BandCombinationList-v1590 ::= SEQUENCE (SIZE (1..maxBandComb)) OF BandCombination-v1590
+
BandCombination ::= SEQUENCE {
bandList SEQUENCE (SIZE (1..maxSimultaneousBands)) OF BandParameters,
featureSetCombination FeatureSetCombinationId,
@@ -5172,6 +5184,11 @@ BandCombination-v1580 ::= SEQUENCE {
mrdc-Parameters-v1580 MRDC-Parameters-v1580
}
+BandCombination-v1590::= SEQUENCE {
+ supportedBandwidthCombinationSetIntraENDC BIT STRING (SIZE (1..32)) OPTIONAL,
+ mrdc-Parameters-v1590 MRDC-Parameters-v1590
+}
+
BandParameters ::= CHOICE {
eutra SEQUENCE {
bandEUTRA FreqBandIndicatorEUTRA,
@@ -5225,7 +5242,7 @@ CA-ParametersEUTRA ::= SEQUENCE {
}
CA-ParametersEUTRA-v1560 ::= SEQUENCE {
- fd-MIMO-TotalWeightedLayers INTEGER (2..128) OPTIONAL
+ fd-MIMO-TotalWeightedLayers INTEGER (2..128) OPTIONAL
}
CA-ParametersEUTRA-v1570 ::= SEQUENCE {
@@ -5269,11 +5286,11 @@ CA-ParametersNR-v1560 ::= SEQUENCE {
-- TAG-CA-PARAMETERS-NRDC-START
CA-ParametersNRDC ::= SEQUENCE {
- ca-ParametersNR-ForDC CA-ParametersNR OPTIONAL,
- ca-ParametersNR-ForDC-v1540 CA-ParametersNR-v1540 OPTIONAL,
- ca-ParametersNR-ForDC-v1550 CA-ParametersNR-v1550 OPTIONAL,
- ca-ParametersNR-ForDC-v1560 CA-ParametersNR-v1560 OPTIONAL,
- featureSetCombinationDC FeatureSetCombinationId OPTIONAL
+ ca-ParametersNR-ForDC CA-ParametersNR OPTIONAL,
+ ca-ParametersNR-ForDC-v1540 CA-ParametersNR-v1540 OPTIONAL,
+ ca-ParametersNR-ForDC-v1550 CA-ParametersNR-v1550 OPTIONAL,
+ ca-ParametersNR-ForDC-v1560 CA-ParametersNR-v1560 OPTIONAL,
+ featureSetCombinationDC FeatureSetCombinationId OPTIONAL
}
-- TAG-CA-PARAMETERS-NRDC-STOP
@@ -5353,15 +5370,15 @@ FeatureSetDownlink ::= SEQUENCE {
ue-SpecificUL-DL-Assignment ENUMERATED {supported} OPTIONAL,
searchSpaceSharingCA-DL ENUMERATED {supported} OPTIONAL,
timeDurationForQCL SEQUENCE {
- scs-60kHz ENUMERATED {s7, s14, s28} OPTIONAL,
- scs-120kHz ENUMERATED {s14, s28} OPTIONAL
- } OPTIONAL,
+ scs-60kHz ENUMERATED {s7, s14, s28} OPTIONAL,
+ scs-120kHz ENUMERATED {s14, s28} OPTIONAL
+ } OPTIONAL,
pdsch-ProcessingType1-DifferentTB-PerSlot SEQUENCE {
- scs-15kHz ENUMERATED {upto2, upto4, upto7} OPTIONAL,
- scs-30kHz ENUMERATED {upto2, upto4, upto7} OPTIONAL,
- scs-60kHz ENUMERATED {upto2, upto4, upto7} OPTIONAL,
- scs-120kHz ENUMERATED {upto2, upto4, upto7} OPTIONAL
- } OPTIONAL,
+ scs-15kHz ENUMERATED {upto2, upto4, upto7} OPTIONAL,
+ scs-30kHz ENUMERATED {upto2, upto4, upto7} OPTIONAL,
+ scs-60kHz ENUMERATED {upto2, upto4, upto7} OPTIONAL,
+ scs-120kHz ENUMERATED {upto2, upto4, upto7} OPTIONAL
+ } OPTIONAL,
dummy3 DummyA OPTIONAL,
dummy4 SEQUENCE (SIZE (1.. maxNrofCodebooks)) OF DummyB OPTIONAL,
dummy5 SEQUENCE (SIZE (1.. maxNrofCodebooks)) OF DummyC OPTIONAL,
@@ -5768,24 +5785,24 @@ MeasAndMobParametersMRDC ::= SEQUENCE {
}
MeasAndMobParametersMRDC-v1560 ::= SEQUENCE {
- measAndMobParametersMRDC-XDD-Diff-v1560 MeasAndMobParametersMRDC-XDD-Diff-v1560 OPTIONAL
+ measAndMobParametersMRDC-XDD-Diff-v1560 MeasAndMobParametersMRDC-XDD-Diff-v1560 OPTIONAL
}
MeasAndMobParametersMRDC-Common ::= SEQUENCE {
- independentGapConfig ENUMERATED {supported} OPTIONAL
+ independentGapConfig ENUMERATED {supported} OPTIONAL
}
MeasAndMobParametersMRDC-XDD-Diff ::= SEQUENCE {
- sftd-MeasPSCell ENUMERATED {supported} OPTIONAL,
- sftd-MeasNR-Cell ENUMERATED {supported} OPTIONAL
+ sftd-MeasPSCell ENUMERATED {supported} OPTIONAL,
+ sftd-MeasNR-Cell ENUMERATED {supported} OPTIONAL
}
MeasAndMobParametersMRDC-XDD-Diff-v1560 ::= SEQUENCE {
- sftd-MeasPSCell-NEDC ENUMERATED {supported} OPTIONAL
+ sftd-MeasPSCell-NEDC ENUMERATED {supported} OPTIONAL
}
MeasAndMobParametersMRDC-FRX-Diff ::= SEQUENCE {
- simultaneousRxDataSSB-DiffNumerology ENUMERATED {supported} OPTIONAL
+ simultaneousRxDataSSB-DiffNumerology ENUMERATED {supported} OPTIONAL
}
-- TAG-MEASANDMOBPARAMETERSMRDC-STOP
@@ -5985,6 +6002,10 @@ MRDC-Parameters-v1580 ::= SEQUENCE {
dynamicPowerSharingNEDC ENUMERATED {supported} OPTIONAL
}
+MRDC-Parameters-v1590 ::= SEQUENCE {
+ interBandContiguousMRDC ENUMERATED {supported} OPTIONAL
+}
+
-- TAG-MRDC-PARAMETERS-STOP
-- TAG-NRDC-PARAMETERS-START
@@ -6154,7 +6175,7 @@ Phy-ParametersFRX-Diff ::= SEQUENCE {
mux-SR-HARQ-ACK-CSI-PUCCH-OncePerSlot SEQUENCE {
sameSymbol ENUMERATED {supported} OPTIONAL,
diffSymbol ENUMERATED {supported} OPTIONAL
- } OPTIONAL,
+ } OPTIONAL,
mux-SR-HARQ-ACK-PUCCH ENUMERATED {supported} OPTIONAL,
mux-MultipleGroupCtrlCH-Overlap ENUMERATED {supported} OPTIONAL,
dl-SchedulingOffset-PDSCH-TypeA ENUMERATED {supported} OPTIONAL,
@@ -6300,6 +6321,30 @@ BandNR ::= SEQUENCE {
]],
[[
maxUplinkDutyCycle-FR2 ENUMERATED {n15, n20, n25, n30, n40, n50, n60, n70, n80, n90, n100} OPTIONAL
+ ]],
+ [[
+ channelBWs-DL-v1590 CHOICE {
+ fr1 SEQUENCE {
+ scs-15kHz BIT STRING (SIZE (16)) OPTIONAL,
+ scs-30kHz BIT STRING (SIZE (16)) OPTIONAL,
+ scs-60kHz BIT STRING (SIZE (16)) OPTIONAL
+ },
+ fr2 SEQUENCE {
+ scs-60kHz BIT STRING (SIZE (8)) OPTIONAL,
+ scs-120kHz BIT STRING (SIZE (8)) OPTIONAL
+ }
+ } OPTIONAL,
+ channelBWs-UL-v1590 CHOICE {
+ fr1 SEQUENCE {
+ scs-15kHz BIT STRING (SIZE (16)) OPTIONAL,
+ scs-30kHz BIT STRING (SIZE (16)) OPTIONAL,
+ scs-60kHz BIT STRING (SIZE (16)) OPTIONAL
+ },
+ fr2 SEQUENCE {
+ scs-60kHz BIT STRING (SIZE (8)) OPTIONAL,
+ scs-120kHz BIT STRING (SIZE (8)) OPTIONAL
+ }
+ } OPTIONAL
]]
}
@@ -6326,6 +6371,9 @@ RF-ParametersMRDC ::= SEQUENCE {
]],
[[
supportedBandCombinationList-v1580 BandCombinationList-v1580 OPTIONAL
+ ]],
+ [[
+ supportedBandCombinationList-v1590 BandCombinationList-v1590 OPTIONAL
]]
}
@@ -6472,62 +6520,62 @@ GeneralParametersMRDC-XDD-Diff ::= SEQUENCE {
UE-NR-Capability ::= SEQUENCE {
accessStratumRelease AccessStratumRelease,
pdcp-Parameters PDCP-Parameters,
- rlc-Parameters RLC-Parameters OPTIONAL,
- mac-Parameters MAC-Parameters OPTIONAL,
+ rlc-Parameters RLC-Parameters OPTIONAL,
+ mac-Parameters MAC-Parameters OPTIONAL,
phy-Parameters Phy-Parameters,
rf-Parameters RF-Parameters,
- measAndMobParameters MeasAndMobParameters OPTIONAL,
- fdd-Add-UE-NR-Capabilities UE-NR-CapabilityAddXDD-Mode OPTIONAL,
- tdd-Add-UE-NR-Capabilities UE-NR-CapabilityAddXDD-Mode OPTIONAL,
- fr1-Add-UE-NR-Capabilities UE-NR-CapabilityAddFRX-Mode OPTIONAL,
- fr2-Add-UE-NR-Capabilities UE-NR-CapabilityAddFRX-Mode OPTIONAL,
- featureSets FeatureSets OPTIONAL,
- featureSetCombinations SEQUENCE (SIZE (1..maxFeatureSetCombinations)) OF FeatureSetCombination OPTIONAL,
+ measAndMobParameters MeasAndMobParameters OPTIONAL,
+ fdd-Add-UE-NR-Capabilities UE-NR-CapabilityAddXDD-Mode OPTIONAL,
+ tdd-Add-UE-NR-Capabilities UE-NR-CapabilityAddXDD-Mode OPTIONAL,
+ fr1-Add-UE-NR-Capabilities UE-NR-CapabilityAddFRX-Mode OPTIONAL,
+ fr2-Add-UE-NR-Capabilities UE-NR-CapabilityAddFRX-Mode OPTIONAL,
+ featureSets FeatureSets OPTIONAL,
+ featureSetCombinations SEQUENCE (SIZE (1..maxFeatureSetCombinations)) OF FeatureSetCombination OPTIONAL,
- lateNonCriticalExtension OCTET STRING OPTIONAL,
- nonCriticalExtension UE-NR-Capability-v1530 OPTIONAL
+ lateNonCriticalExtension OCTET STRING OPTIONAL,
+ nonCriticalExtension UE-NR-Capability-v1530 OPTIONAL
}
UE-NR-Capability-v1530 ::= SEQUENCE {
- fdd-Add-UE-NR-Capabilities-v1530 UE-NR-CapabilityAddXDD-Mode-v1530 OPTIONAL,
- tdd-Add-UE-NR-Capabilities-v1530 UE-NR-CapabilityAddXDD-Mode-v1530 OPTIONAL,
- dummy ENUMERATED {supported} OPTIONAL,
- interRAT-Parameters InterRAT-Parameters OPTIONAL,
- inactiveState ENUMERATED {supported} OPTIONAL,
- delayBudgetReporting ENUMERATED {supported} OPTIONAL,
- nonCriticalExtension UE-NR-Capability-v1540 OPTIONAL
+ fdd-Add-UE-NR-Capabilities-v1530 UE-NR-CapabilityAddXDD-Mode-v1530 OPTIONAL,
+ tdd-Add-UE-NR-Capabilities-v1530 UE-NR-CapabilityAddXDD-Mode-v1530 OPTIONAL,
+ dummy ENUMERATED {supported} OPTIONAL,
+ interRAT-Parameters InterRAT-Parameters OPTIONAL,
+ inactiveState ENUMERATED {supported} OPTIONAL,
+ delayBudgetReporting ENUMERATED {supported} OPTIONAL,
+ nonCriticalExtension UE-NR-Capability-v1540 OPTIONAL
}
UE-NR-Capability-v1540 ::= SEQUENCE {
- sdap-Parameters SDAP-Parameters OPTIONAL,
- overheatingInd ENUMERATED {supported} OPTIONAL,
- ims-Parameters IMS-Parameters OPTIONAL,
- fr1-Add-UE-NR-Capabilities-v1540 UE-NR-CapabilityAddFRX-Mode-v1540 OPTIONAL,
- fr2-Add-UE-NR-Capabilities-v1540 UE-NR-CapabilityAddFRX-Mode-v1540 OPTIONAL,
- fr1-fr2-Add-UE-NR-Capabilities UE-NR-CapabilityAddFRX-Mode OPTIONAL,
- nonCriticalExtension UE-NR-Capability-v1550 OPTIONAL
+ sdap-Parameters SDAP-Parameters OPTIONAL,
+ overheatingInd ENUMERATED {supported} OPTIONAL,
+ ims-Parameters IMS-Parameters OPTIONAL,
+ fr1-Add-UE-NR-Capabilities-v1540 UE-NR-CapabilityAddFRX-Mode-v1540 OPTIONAL,
+ fr2-Add-UE-NR-Capabilities-v1540 UE-NR-CapabilityAddFRX-Mode-v1540 OPTIONAL,
+ fr1-fr2-Add-UE-NR-Capabilities UE-NR-CapabilityAddFRX-Mode OPTIONAL,
+ nonCriticalExtension UE-NR-Capability-v1550 OPTIONAL
}
UE-NR-Capability-v1550 ::= SEQUENCE {
- reducedCP-Latency ENUMERATED {supported} OPTIONAL,
- nonCriticalExtension UE-NR-Capability-v1560 OPTIONAL
+ reducedCP-Latency ENUMERATED {supported} OPTIONAL,
+ nonCriticalExtension UE-NR-Capability-v1560 OPTIONAL
}
UE-NR-Capability-v1560 ::= SEQUENCE {
- nrdc-Parameters NRDC-Parameters OPTIONAL,
- receivedFilters OCTET STRING (CONTAINING UECapabilityEnquiry-v1560-IEs) OPTIONAL,
- nonCriticalExtension UE-NR-Capability-v1570 OPTIONAL
+ nrdc-Parameters NRDC-Parameters OPTIONAL,
+ receivedFilters OCTET STRING (CONTAINING UECapabilityEnquiry-v1560-IEs) OPTIONAL,
+ nonCriticalExtension UE-NR-Capability-v1570 OPTIONAL
}
UE-NR-Capability-v1570 ::= SEQUENCE {
- nrdc-Parameters-v1570 NRDC-Parameters-v1570 OPTIONAL,
- nonCriticalExtension SEQUENCE {} OPTIONAL
+ nrdc-Parameters-v1570 NRDC-Parameters-v1570 OPTIONAL,
+ nonCriticalExtension SEQUENCE {} OPTIONAL
}
UE-NR-CapabilityAddXDD-Mode ::= SEQUENCE {
- phy-ParametersXDD-Diff Phy-ParametersXDD-Diff OPTIONAL,
- mac-ParametersXDD-Diff MAC-ParametersXDD-Diff OPTIONAL,
- measAndMobParametersXDD-Diff MeasAndMobParametersXDD-Diff OPTIONAL
+ phy-ParametersXDD-Diff Phy-ParametersXDD-Diff OPTIONAL,
+ mac-ParametersXDD-Diff MAC-ParametersXDD-Diff OPTIONAL,
+ measAndMobParametersXDD-Diff MeasAndMobParametersXDD-Diff OPTIONAL
}
UE-NR-CapabilityAddXDD-Mode-v1530 ::= SEQUENCE {
@@ -6535,12 +6583,12 @@ UE-NR-CapabilityAddXDD-Mode-v1530 ::= SEQUENCE {
}
UE-NR-CapabilityAddFRX-Mode ::= SEQUENCE {
- phy-ParametersFRX-Diff Phy-ParametersFRX-Diff OPTIONAL,
- measAndMobParametersFRX-Diff MeasAndMobParametersFRX-Diff OPTIONAL
+ phy-ParametersFRX-Diff Phy-ParametersFRX-Diff OPTIONAL,
+ measAndMobParametersFRX-Diff MeasAndMobParametersFRX-Diff OPTIONAL
}
UE-NR-CapabilityAddFRX-Mode-v1540 ::= SEQUENCE {
- ims-ParametersFRX-Diff IMS-ParametersFRX-Diff OPTIONAL
+ ims-ParametersFRX-Diff IMS-ParametersFRX-Diff OPTIONAL
}
-- TAG-UE-NR-CAPABILITY-STOP
@@ -6659,7 +6707,7 @@ maxMultiBands INTEGER ::= 8 -- Maximum number of
maxNARFCN INTEGER ::= 3279165 -- Maximum value of NR carrier frequency
maxNR-NS-Pmax INTEGER ::= 8 -- Maximum number of NS and P-Max values per band
maxNrofServingCells INTEGER ::= 32 -- Max number of serving cells (SpCells + SCells)
-maxNrofServingCells-1 INTEGER ::= 31 -- Max number of serving cells (SpCell + SCells) per cell group
+maxNrofServingCells-1 INTEGER ::= 31 -- Max number of serving cells (SpCell + SCells) minus 1
maxNrofAggregatedCellsPerCellGroup INTEGER ::= 16
maxNrofSCells INTEGER ::= 31 -- Max number of secondary serving cells per cell group
maxNrofCellMeas INTEGER ::= 32 -- Maximum number of entries in each of the cell lists in a measurement
diff --git a/epan/dissectors/asn1/nr-rrc/NR-UE-Variables.asn b/epan/dissectors/asn1/nr-rrc/NR-UE-Variables.asn
index 65c64a0561..fab994e8ff 100644
--- a/epan/dissectors/asn1/nr-rrc/NR-UE-Variables.asn
+++ b/epan/dissectors/asn1/nr-rrc/NR-UE-Variables.asn
@@ -1,4 +1,4 @@
--- 3GPP TS 38.331 V15.8.0 (2019-12)
+-- 3GPP TS 38.331 V15.9.0 (2020-03)
NR-UE-Variables DEFINITIONS AUTOMATIC TAGS ::=
@@ -23,7 +23,7 @@ FROM NR-RRC-Definitions;
-- TAG-VARPENDINGRNA-UPDATE-START
VarPendingRNA-Update ::= SEQUENCE {
- pendingRNA-Update BOOLEAN OPTIONAL
+ pendingRNA-Update BOOLEAN OPTIONAL
}
-- TAG-VARPENDINGRNA-UPDATE-STOP
diff --git a/epan/dissectors/asn1/nr-rrc/packet-nr-rrc-template.c b/epan/dissectors/asn1/nr-rrc/packet-nr-rrc-template.c
index 63ab443323..f1c2327755 100644
--- a/epan/dissectors/asn1/nr-rrc/packet-nr-rrc-template.c
+++ b/epan/dissectors/asn1/nr-rrc/packet-nr-rrc-template.c
@@ -1,7 +1,7 @@
/* packet-nr-rrc-template.c
* NR;
* Radio Resource Control (RRC) protocol specification
- * (3GPP TS 38.331 V15.8.0 Release 15) packet dissection
+ * (3GPP TS 38.331 V15.9.0 Release 15) packet dissection
* Copyright 2018-2020, Pascal Quantin
*
* Wireshark - Network traffic analyzer
diff --git a/epan/dissectors/packet-nr-rrc.c b/epan/dissectors/packet-nr-rrc.c
index be48ef069d..9ac652b748 100644
--- a/epan/dissectors/packet-nr-rrc.c
+++ b/epan/dissectors/packet-nr-rrc.c
@@ -9,7 +9,7 @@
/* packet-nr-rrc-template.c
* NR;
* Radio Resource Control (RRC) protocol specification
- * (3GPP TS 38.331 V15.8.0 Release 15) packet dissection
+ * (3GPP TS 38.331 V15.9.0 Release 15) packet dissection
* Copyright 2018-2020, Pascal Quantin
*
* Wireshark - Network traffic analyzer
@@ -374,7 +374,12 @@ static int hf_nr_rrc_reportCGI_RequestEUTRA = -1; /* T_reportCGI_RequestEUTRA *
static int hf_nr_rrc_requestedCellInfoEUTRA = -1; /* T_requestedCellInfoEUTRA */
static int hf_nr_rrc_eutraFrequency = -1; /* ARFCN_ValueEUTRA */
static int hf_nr_rrc_cellForWhichToReportCGI_EUTRA = -1; /* EUTRA_PhysCellId */
-static int hf_nr_rrc_nonCriticalExtension_04 = -1; /* T_nonCriticalExtension_02 */
+static int hf_nr_rrc_nonCriticalExtension_04 = -1; /* CG_Config_v1590_IEs */
+static int hf_nr_rrc_scellFrequenciesSN_NR = -1; /* SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR */
+static int hf_nr_rrc_scellFrequenciesSN_NR_item = -1; /* ARFCN_ValueNR */
+static int hf_nr_rrc_scellFrequenciesSN_EUTRA = -1; /* SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA */
+static int hf_nr_rrc_scellFrequenciesSN_EUTRA_item = -1; /* ARFCN_ValueEUTRA */
+static int hf_nr_rrc_nonCriticalExtension_05 = -1; /* T_nonCriticalExtension_02 */
static int hf_nr_rrc_PH_TypeListSCG_item = -1; /* PH_InfoSCG */
static int hf_nr_rrc_servCellIndex = -1; /* ServCellIndex */
static int hf_nr_rrc_ph_Uplink = -1; /* PH_UplinkCarrierSCG */
@@ -410,11 +415,11 @@ static int hf_nr_rrc_sourceConfigSCG = -1; /* T_sourceConfigSCG */
static int hf_nr_rrc_scg_RB_Config_01 = -1; /* T_scg_RB_Config_01 */
static int hf_nr_rrc_mcg_RB_Config = -1; /* T_mcg_RB_Config */
static int hf_nr_rrc_mrdc_AssistanceInfo = -1; /* MRDC_AssistanceInfo */
-static int hf_nr_rrc_nonCriticalExtension_05 = -1; /* CG_ConfigInfo_v1540_IEs */
+static int hf_nr_rrc_nonCriticalExtension_06 = -1; /* CG_ConfigInfo_v1540_IEs */
static int hf_nr_rrc_ph_InfoMCG = -1; /* PH_TypeListMCG */
static int hf_nr_rrc_measResultReportCGI = -1; /* T_measResultReportCGI */
static int hf_nr_rrc_cgi_Info = -1; /* CGI_InfoNR */
-static int hf_nr_rrc_nonCriticalExtension_06 = -1; /* CG_ConfigInfo_v1560_IEs */
+static int hf_nr_rrc_nonCriticalExtension_07 = -1; /* CG_ConfigInfo_v1560_IEs */
static int hf_nr_rrc_candidateCellInfoListMN_EUTRA = -1; /* T_candidateCellInfoListMN_EUTRA */
static int hf_nr_rrc_candidateCellInfoListSN_EUTRA_02 = -1; /* T_candidateCellInfoListSN_EUTRA_01 */
static int hf_nr_rrc_sourceConfigSCG_EUTRA = -1; /* T_sourceConfigSCG_EUTRA */
@@ -426,10 +431,13 @@ static int hf_nr_rrc_measResultReportCGI_EUTRA = -1; /* T_measResultReportCGI_E
static int hf_nr_rrc_cgi_InfoEUTRA = -1; /* CGI_InfoEUTRA */
static int hf_nr_rrc_measResultCellListSFTD_EUTRA = -1; /* MeasResultCellListSFTD_EUTRA */
static int hf_nr_rrc_fr_InfoListMCG = -1; /* FR_InfoList */
-static int hf_nr_rrc_nonCriticalExtension_07 = -1; /* CG_ConfigInfo_v1570_IEs */
+static int hf_nr_rrc_nonCriticalExtension_08 = -1; /* CG_ConfigInfo_v1570_IEs */
static int hf_nr_rrc_sftdFrequencyList_NR = -1; /* SFTD_FrequencyList_NR */
static int hf_nr_rrc_sftdFrequencyList_EUTRA = -1; /* SFTD_FrequencyList_EUTRA */
-static int hf_nr_rrc_nonCriticalExtension_08 = -1; /* T_nonCriticalExtension_03 */
+static int hf_nr_rrc_nonCriticalExtension_09 = -1; /* CG_ConfigInfo_v1590_IEs */
+static int hf_nr_rrc_servFrequenciesMN_NR = -1; /* SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR */
+static int hf_nr_rrc_servFrequenciesMN_NR_item = -1; /* ARFCN_ValueNR */
+static int hf_nr_rrc_nonCriticalExtension_10 = -1; /* T_nonCriticalExtension_03 */
static int hf_nr_rrc_SFTD_FrequencyList_NR_item = -1; /* ARFCN_ValueNR */
static int hf_nr_rrc_SFTD_FrequencyList_EUTRA_item = -1; /* ARFCN_ValueEUTRA */
static int hf_nr_rrc_allowedBC_ListMRDC = -1; /* BandCombinationInfoList */
@@ -441,11 +449,13 @@ static int hf_nr_rrc_servCellIndexRangeSCG = -1; /* T_servCellIndexRangeSCG */
static int hf_nr_rrc_lowBound = -1; /* ServCellIndex */
static int hf_nr_rrc_upBound = -1; /* ServCellIndex */
static int hf_nr_rrc_maxMeasFreqsSCG = -1; /* INTEGER_1_maxMeasFreqsMN */
-static int hf_nr_rrc_maxMeasIdentitiesSCG_NR = -1; /* INTEGER_1_maxMeasIdentitiesMN */
+static int hf_nr_rrc_dummy = -1; /* INTEGER_1_maxMeasIdentitiesMN */
static int hf_nr_rrc_selectedBandEntriesMNList = -1; /* SEQUENCE_SIZE_1_maxBandComb_OF_SelectedBandEntriesMN */
static int hf_nr_rrc_selectedBandEntriesMNList_item = -1; /* SelectedBandEntriesMN */
static int hf_nr_rrc_pdcch_BlindDetectionSCG = -1; /* INTEGER_1_15 */
static int hf_nr_rrc_maxNumberROHC_ContextSessionsSN = -1; /* INTEGER_0_16384 */
+static int hf_nr_rrc_maxIntraFreqMeasIdentitiesSCG = -1; /* INTEGER_1_maxMeasIdentitiesMN */
+static int hf_nr_rrc_maxInterFreqMeasIdentitiesSCG = -1; /* INTEGER_1_maxMeasIdentitiesMN */
static int hf_nr_rrc_SelectedBandEntriesMN_item = -1; /* BandEntryIndex */
static int hf_nr_rrc_PH_TypeListMCG_item = -1; /* PH_InfoMCG */
static int hf_nr_rrc_ph_Uplink_01 = -1; /* PH_UplinkCarrierMCG */
@@ -505,10 +515,10 @@ static int hf_nr_rrc_c1_04 = -1; /* T_c1_04 */
static int hf_nr_rrc_measTimingConf = -1; /* MeasurementTimingConfiguration_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_04 = -1; /* T_criticalExtensionsFuture_04 */
static int hf_nr_rrc_measTiming = -1; /* MeasTimingList */
-static int hf_nr_rrc_nonCriticalExtension_09 = -1; /* MeasurementTimingConfiguration_v1550_IEs */
+static int hf_nr_rrc_nonCriticalExtension_11 = -1; /* MeasurementTimingConfiguration_v1550_IEs */
static int hf_nr_rrc_campOnFirstSSB = -1; /* BOOLEAN */
static int hf_nr_rrc_psCellOnlyOnFirstSSB = -1; /* BOOLEAN */
-static int hf_nr_rrc_nonCriticalExtension_10 = -1; /* T_nonCriticalExtension_04 */
+static int hf_nr_rrc_nonCriticalExtension_12 = -1; /* T_nonCriticalExtension_04 */
static int hf_nr_rrc_MeasTimingList_item = -1; /* MeasTiming */
static int hf_nr_rrc_frequencyAndTiming = -1; /* T_frequencyAndTiming */
static int hf_nr_rrc_carrierFreq = -1; /* ARFCN_ValueNR */
@@ -527,13 +537,13 @@ static int hf_nr_rrc_spare4 = -1; /* NULL */
static int hf_nr_rrc_criticalExtensionsFuture_05 = -1; /* T_criticalExtensionsFuture_05 */
static int hf_nr_rrc_supportedBandListNRForPaging = -1; /* SEQUENCE_SIZE_1_maxBands_OF_FreqBandIndicatorNR */
static int hf_nr_rrc_supportedBandListNRForPaging_item = -1; /* FreqBandIndicatorNR */
-static int hf_nr_rrc_nonCriticalExtension_11 = -1; /* T_nonCriticalExtension_05 */
+static int hf_nr_rrc_nonCriticalExtension_13 = -1; /* T_nonCriticalExtension_05 */
static int hf_nr_rrc_criticalExtensions_06 = -1; /* T_criticalExtensions_06 */
static int hf_nr_rrc_c1_06 = -1; /* T_c1_06 */
static int hf_nr_rrc_ueRadioAccessCapabilityInformation = -1; /* UERadioAccessCapabilityInformation_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_06 = -1; /* T_criticalExtensionsFuture_06 */
static int hf_nr_rrc_ue_RadioAccessCapabilityInfo = -1; /* T_ue_RadioAccessCapabilityInfo */
-static int hf_nr_rrc_nonCriticalExtension_12 = -1; /* T_nonCriticalExtension_06 */
+static int hf_nr_rrc_nonCriticalExtension_14 = -1; /* T_nonCriticalExtension_06 */
static int hf_nr_rrc_message = -1; /* BCCH_BCH_MessageType */
static int hf_nr_rrc_mib = -1; /* MIB */
static int hf_nr_rrc_messageClassExtension = -1; /* T_messageClassExtension */
@@ -599,7 +609,7 @@ static int hf_nr_rrc_counterCheck_01 = -1; /* CounterCheck_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_07 = -1; /* T_criticalExtensionsFuture_07 */
static int hf_nr_rrc_drb_CountMSB_InfoList = -1; /* DRB_CountMSB_InfoList */
static int hf_nr_rrc_lateNonCriticalExtension = -1; /* OCTET_STRING */
-static int hf_nr_rrc_nonCriticalExtension_13 = -1; /* T_nonCriticalExtension_07 */
+static int hf_nr_rrc_nonCriticalExtension_15 = -1; /* T_nonCriticalExtension_07 */
static int hf_nr_rrc_DRB_CountMSB_InfoList_item = -1; /* DRB_CountMSB_Info */
static int hf_nr_rrc_drb_Identity = -1; /* DRB_Identity */
static int hf_nr_rrc_countMSB_Uplink = -1; /* INTEGER_0_33554431 */
@@ -608,7 +618,7 @@ static int hf_nr_rrc_criticalExtensions_08 = -1; /* T_criticalExtensions_08 */
static int hf_nr_rrc_counterCheckResponse_01 = -1; /* CounterCheckResponse_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_08 = -1; /* T_criticalExtensionsFuture_08 */
static int hf_nr_rrc_drb_CountInfoList = -1; /* DRB_CountInfoList */
-static int hf_nr_rrc_nonCriticalExtension_14 = -1; /* T_nonCriticalExtension_08 */
+static int hf_nr_rrc_nonCriticalExtension_16 = -1; /* T_nonCriticalExtension_08 */
static int hf_nr_rrc_DRB_CountInfoList_item = -1; /* DRB_CountInfo */
static int hf_nr_rrc_count_Uplink = -1; /* INTEGER_0_4294967295 */
static int hf_nr_rrc_count_Downlink = -1; /* INTEGER_0_4294967295 */
@@ -616,12 +626,12 @@ static int hf_nr_rrc_criticalExtensions_09 = -1; /* T_criticalExtensions_09 */
static int hf_nr_rrc_dlInformationTransfer_01 = -1; /* DLInformationTransfer_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_09 = -1; /* T_criticalExtensionsFuture_09 */
static int hf_nr_rrc_dedicatedNAS_Message = -1; /* DedicatedNAS_Message */
-static int hf_nr_rrc_nonCriticalExtension_15 = -1; /* T_nonCriticalExtension_09 */
+static int hf_nr_rrc_nonCriticalExtension_17 = -1; /* T_nonCriticalExtension_09 */
static int hf_nr_rrc_criticalExtensions_10 = -1; /* T_criticalExtensions_10 */
static int hf_nr_rrc_failureInformation_01 = -1; /* FailureInformation_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_10 = -1; /* T_criticalExtensionsFuture_10 */
static int hf_nr_rrc_failureInfoRLC_Bearer = -1; /* FailureInfoRLC_Bearer */
-static int hf_nr_rrc_nonCriticalExtension_16 = -1; /* T_nonCriticalExtension_10 */
+static int hf_nr_rrc_nonCriticalExtension_18 = -1; /* T_nonCriticalExtension_10 */
static int hf_nr_rrc_cellGroupId = -1; /* CellGroupId */
static int hf_nr_rrc_logicalChannelIdentity = -1; /* LogicalChannelIdentity */
static int hf_nr_rrc_failureType_01 = -1; /* T_failureType_01 */
@@ -630,12 +640,12 @@ static int hf_nr_rrc_locationMeasurementIndication_01 = -1; /* LocationMeasurem
static int hf_nr_rrc_criticalExtensionsFuture_11 = -1; /* T_criticalExtensionsFuture_11 */
static int hf_nr_rrc_measurementIndication = -1; /* T_measurementIndication */
static int hf_nr_rrc_setup_01 = -1; /* LocationMeasurementInfo */
-static int hf_nr_rrc_nonCriticalExtension_17 = -1; /* T_nonCriticalExtension_11 */
+static int hf_nr_rrc_nonCriticalExtension_19 = -1; /* T_nonCriticalExtension_11 */
static int hf_nr_rrc_criticalExtensions_12 = -1; /* T_criticalExtensions_12 */
static int hf_nr_rrc_measurementReport_01 = -1; /* MeasurementReport_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_12 = -1; /* T_criticalExtensionsFuture_12 */
static int hf_nr_rrc_measResults = -1; /* MeasResults */
-static int hf_nr_rrc_nonCriticalExtension_18 = -1; /* T_nonCriticalExtension_12 */
+static int hf_nr_rrc_nonCriticalExtension_20 = -1; /* T_nonCriticalExtension_12 */
static int hf_nr_rrc_systemFrameNumber = -1; /* BIT_STRING_SIZE_6 */
static int hf_nr_rrc_subCarrierSpacingCommon = -1; /* T_subCarrierSpacingCommon */
static int hf_nr_rrc_ssb_SubcarrierOffset = -1; /* INTEGER_0_15 */
@@ -650,9 +660,9 @@ static int hf_nr_rrc_criticalExtensionsFuture_13 = -1; /* T_criticalExtensionsF
static int hf_nr_rrc_targetRAT_Type = -1; /* T_targetRAT_Type */
static int hf_nr_rrc_targetRAT_MessageContainer = -1; /* T_targetRAT_MessageContainer */
static int hf_nr_rrc_nas_SecurityParamFromNR = -1; /* OCTET_STRING */
-static int hf_nr_rrc_nonCriticalExtension_19 = -1; /* T_nonCriticalExtension_13 */
+static int hf_nr_rrc_nonCriticalExtension_21 = -1; /* T_nonCriticalExtension_13 */
static int hf_nr_rrc_pagingRecordList = -1; /* PagingRecordList */
-static int hf_nr_rrc_nonCriticalExtension_20 = -1; /* T_nonCriticalExtension_14 */
+static int hf_nr_rrc_nonCriticalExtension_22 = -1; /* T_nonCriticalExtension_14 */
static int hf_nr_rrc_PagingRecordList_item = -1; /* PagingRecord */
static int hf_nr_rrc_ue_Identity = -1; /* PagingUE_Identity */
static int hf_nr_rrc_accessType = -1; /* T_accessType */
@@ -662,11 +672,11 @@ static int hf_nr_rrc_criticalExtensions_14 = -1; /* T_criticalExtensions_14 */
static int hf_nr_rrc_rrcReestablishment_01 = -1; /* RRCReestablishment_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_14 = -1; /* T_criticalExtensionsFuture_14 */
static int hf_nr_rrc_nextHopChainingCount = -1; /* NextHopChainingCount */
-static int hf_nr_rrc_nonCriticalExtension_21 = -1; /* T_nonCriticalExtension_15 */
+static int hf_nr_rrc_nonCriticalExtension_23 = -1; /* T_nonCriticalExtension_15 */
static int hf_nr_rrc_criticalExtensions_15 = -1; /* T_criticalExtensions_15 */
static int hf_nr_rrc_rrcReestablishmentComplete_01 = -1; /* RRCReestablishmentComplete_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_15 = -1; /* T_criticalExtensionsFuture_15 */
-static int hf_nr_rrc_nonCriticalExtension_22 = -1; /* T_nonCriticalExtension_16 */
+static int hf_nr_rrc_nonCriticalExtension_24 = -1; /* T_nonCriticalExtension_16 */
static int hf_nr_rrc_rrcReestablishmentRequest_01 = -1; /* RRCReestablishmentRequest_IEs */
static int hf_nr_rrc_ue_Identity_01 = -1; /* ReestabUE_Identity */
static int hf_nr_rrc_reestablishmentCause = -1; /* ReestablishmentCause */
@@ -677,7 +687,7 @@ static int hf_nr_rrc_criticalExtensionsFuture_16 = -1; /* T_criticalExtensionsF
static int hf_nr_rrc_radioBearerConfig = -1; /* RadioBearerConfig */
static int hf_nr_rrc_secondaryCellGroup = -1; /* T_secondaryCellGroup */
static int hf_nr_rrc_measConfig = -1; /* MeasConfig */
-static int hf_nr_rrc_nonCriticalExtension_23 = -1; /* RRCReconfiguration_v1530_IEs */
+static int hf_nr_rrc_nonCriticalExtension_25 = -1; /* RRCReconfiguration_v1530_IEs */
static int hf_nr_rrc_masterCellGroup = -1; /* T_masterCellGroup */
static int hf_nr_rrc_fullConfig = -1; /* T_fullConfig */
static int hf_nr_rrc_dedicatedNAS_MessageList = -1; /* SEQUENCE_SIZE_1_maxDRB_OF_DedicatedNAS_Message */
@@ -686,14 +696,14 @@ static int hf_nr_rrc_masterKeyUpdate = -1; /* MasterKeyUpdate */
static int hf_nr_rrc_dedicatedSIB1_Delivery = -1; /* T_dedicatedSIB1_Delivery */
static int hf_nr_rrc_dedicatedSystemInformationDelivery = -1; /* T_dedicatedSystemInformationDelivery */
static int hf_nr_rrc_otherConfig = -1; /* OtherConfig */
-static int hf_nr_rrc_nonCriticalExtension_24 = -1; /* RRCReconfiguration_v1540_IEs */
+static int hf_nr_rrc_nonCriticalExtension_26 = -1; /* RRCReconfiguration_v1540_IEs */
static int hf_nr_rrc_otherConfig_v1540 = -1; /* OtherConfig_v1540 */
-static int hf_nr_rrc_nonCriticalExtension_25 = -1; /* RRCReconfiguration_v1560_IEs */
+static int hf_nr_rrc_nonCriticalExtension_27 = -1; /* RRCReconfiguration_v1560_IEs */
static int hf_nr_rrc_mrdc_SecondaryCellGroupConfig = -1; /* T_mrdc_SecondaryCellGroupConfig */
static int hf_nr_rrc_setup_02 = -1; /* MRDC_SecondaryCellGroupConfig */
static int hf_nr_rrc_radioBearerConfig2 = -1; /* T_radioBearerConfig2 */
static int hf_nr_rrc_sk_Counter = -1; /* SK_Counter */
-static int hf_nr_rrc_nonCriticalExtension_26 = -1; /* T_nonCriticalExtension_17 */
+static int hf_nr_rrc_nonCriticalExtension_28 = -1; /* T_nonCriticalExtension_17 */
static int hf_nr_rrc_mrdc_ReleaseAndAdd = -1; /* T_mrdc_ReleaseAndAdd */
static int hf_nr_rrc_mrdc_SecondaryCellGroup = -1; /* T_mrdc_SecondaryCellGroup */
static int hf_nr_rrc_nr_SCG = -1; /* T_nr_SCG */
@@ -703,18 +713,18 @@ static int hf_nr_rrc_nas_Container = -1; /* T_nas_Container */
static int hf_nr_rrc_criticalExtensions_17 = -1; /* T_criticalExtensions_17 */
static int hf_nr_rrc_rrcReconfigurationComplete_01 = -1; /* RRCReconfigurationComplete_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_17 = -1; /* T_criticalExtensionsFuture_17 */
-static int hf_nr_rrc_nonCriticalExtension_27 = -1; /* RRCReconfigurationComplete_v1530_IEs */
+static int hf_nr_rrc_nonCriticalExtension_29 = -1; /* RRCReconfigurationComplete_v1530_IEs */
static int hf_nr_rrc_uplinkTxDirectCurrentList = -1; /* UplinkTxDirectCurrentList */
-static int hf_nr_rrc_nonCriticalExtension_28 = -1; /* RRCReconfigurationComplete_v1560_IEs */
+static int hf_nr_rrc_nonCriticalExtension_30 = -1; /* RRCReconfigurationComplete_v1560_IEs */
static int hf_nr_rrc_scg_Response = -1; /* T_scg_Response */
static int hf_nr_rrc_nr_SCG_Response = -1; /* T_nr_SCG_Response */
static int hf_nr_rrc_eutra_SCG_Response = -1; /* T_eutra_SCG_Response */
-static int hf_nr_rrc_nonCriticalExtension_29 = -1; /* T_nonCriticalExtension_18 */
+static int hf_nr_rrc_nonCriticalExtension_31 = -1; /* T_nonCriticalExtension_18 */
static int hf_nr_rrc_criticalExtensions_18 = -1; /* T_criticalExtensions_18 */
static int hf_nr_rrc_rrcReject_01 = -1; /* RRCReject_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_18 = -1; /* T_criticalExtensionsFuture_18 */
static int hf_nr_rrc_waitTime = -1; /* RejectWaitTime */
-static int hf_nr_rrc_nonCriticalExtension_30 = -1; /* T_nonCriticalExtension_19 */
+static int hf_nr_rrc_nonCriticalExtension_32 = -1; /* T_nonCriticalExtension_19 */
static int hf_nr_rrc_criticalExtensions_19 = -1; /* T_criticalExtensions_19 */
static int hf_nr_rrc_rrcRelease_01 = -1; /* RRCRelease_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_19 = -1; /* T_criticalExtensionsFuture_19 */
@@ -724,8 +734,8 @@ static int hf_nr_rrc_suspendConfig = -1; /* SuspendConfig */
static int hf_nr_rrc_deprioritisationReq = -1; /* T_deprioritisationReq */
static int hf_nr_rrc_deprioritisationType = -1; /* T_deprioritisationType */
static int hf_nr_rrc_deprioritisationTimer = -1; /* T_deprioritisationTimer */
-static int hf_nr_rrc_nonCriticalExtension_31 = -1; /* RRCRelease_v1540_IEs */
-static int hf_nr_rrc_nonCriticalExtension_32 = -1; /* T_nonCriticalExtension_20 */
+static int hf_nr_rrc_nonCriticalExtension_33 = -1; /* RRCRelease_v1540_IEs */
+static int hf_nr_rrc_nonCriticalExtension_34 = -1; /* T_nonCriticalExtension_20 */
static int hf_nr_rrc_nr = -1; /* CarrierInfoNR */
static int hf_nr_rrc_eutra = -1; /* RedirectedCarrierInfo_EUTRA */
static int hf_nr_rrc_cnType = -1; /* T_cnType */
@@ -758,14 +768,14 @@ static int hf_nr_rrc_rrcResume_01 = -1; /* RRCResume_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_20 = -1; /* T_criticalExtensionsFuture_20 */
static int hf_nr_rrc_masterCellGroup_01 = -1; /* T_masterCellGroup_01 */
static int hf_nr_rrc_fullConfig_01 = -1; /* T_fullConfig_01 */
-static int hf_nr_rrc_nonCriticalExtension_33 = -1; /* RRCResume_v1560_IEs */
+static int hf_nr_rrc_nonCriticalExtension_35 = -1; /* RRCResume_v1560_IEs */
static int hf_nr_rrc_radioBearerConfig2_01 = -1; /* T_radioBearerConfig2_01 */
-static int hf_nr_rrc_nonCriticalExtension_34 = -1; /* T_nonCriticalExtension_21 */
+static int hf_nr_rrc_nonCriticalExtension_36 = -1; /* T_nonCriticalExtension_21 */
static int hf_nr_rrc_criticalExtensions_21 = -1; /* T_criticalExtensions_21 */
static int hf_nr_rrc_rrcResumeComplete_01 = -1; /* RRCResumeComplete_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_21 = -1; /* T_criticalExtensionsFuture_21 */
static int hf_nr_rrc_selectedPLMN_Identity = -1; /* INTEGER_1_maxPLMN */
-static int hf_nr_rrc_nonCriticalExtension_35 = -1; /* T_nonCriticalExtension_22 */
+static int hf_nr_rrc_nonCriticalExtension_37 = -1; /* T_nonCriticalExtension_22 */
static int hf_nr_rrc_rrcResumeRequest_01 = -1; /* RRCResumeRequest_IEs */
static int hf_nr_rrc_resumeIdentity = -1; /* ShortI_RNTI_Value */
static int hf_nr_rrc_resumeMAC_I = -1; /* BIT_STRING_SIZE_16 */
@@ -776,7 +786,7 @@ static int hf_nr_rrc_criticalExtensions_22 = -1; /* T_criticalExtensions_22 */
static int hf_nr_rrc_rrcSetup_01 = -1; /* RRCSetup_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_22 = -1; /* T_criticalExtensionsFuture_22 */
static int hf_nr_rrc_masterCellGroup_02 = -1; /* T_masterCellGroup_02 */
-static int hf_nr_rrc_nonCriticalExtension_36 = -1; /* T_nonCriticalExtension_23 */
+static int hf_nr_rrc_nonCriticalExtension_38 = -1; /* T_nonCriticalExtension_23 */
static int hf_nr_rrc_criticalExtensions_23 = -1; /* T_criticalExtensions_23 */
static int hf_nr_rrc_rrcSetupComplete_01 = -1; /* RRCSetupComplete_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_23 = -1; /* T_criticalExtensionsFuture_23 */
@@ -786,7 +796,7 @@ static int hf_nr_rrc_s_NSSAI_List = -1; /* SEQUENCE_SIZE_1_maxNrofS_NS
static int hf_nr_rrc_s_NSSAI_List_item = -1; /* S_NSSAI */
static int hf_nr_rrc_ng_5G_S_TMSI_Value = -1; /* T_ng_5G_S_TMSI_Value */
static int hf_nr_rrc_ng_5G_S_TMSI_Part2 = -1; /* BIT_STRING_SIZE_9 */
-static int hf_nr_rrc_nonCriticalExtension_37 = -1; /* T_nonCriticalExtension_24 */
+static int hf_nr_rrc_nonCriticalExtension_39 = -1; /* T_nonCriticalExtension_24 */
static int hf_nr_rrc_amf_Identifier = -1; /* AMF_Identifier */
static int hf_nr_rrc_rrcSetupRequest_01 = -1; /* RRCSetupRequest_IEs */
static int hf_nr_rrc_ue_Identity_02 = -1; /* InitialUE_Identity */
@@ -802,7 +812,8 @@ static int hf_nr_rrc_criticalExtensions_25 = -1; /* T_criticalExtensions_25 */
static int hf_nr_rrc_scgFailureInformation_01 = -1; /* SCGFailureInformation_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_25 = -1; /* T_criticalExtensionsFuture_25 */
static int hf_nr_rrc_failureReportSCG = -1; /* FailureReportSCG */
-static int hf_nr_rrc_nonCriticalExtension_38 = -1; /* T_nonCriticalExtension_25 */
+static int hf_nr_rrc_nonCriticalExtension_40 = -1; /* SCGFailureInformation_v1590_IEs */
+static int hf_nr_rrc_nonCriticalExtension_41 = -1; /* T_nonCriticalExtension_25 */
static int hf_nr_rrc_failureType_02 = -1; /* T_failureType_02 */
static int hf_nr_rrc_measResultFreqList = -1; /* MeasResultFreqList */
static int hf_nr_rrc_measResultSCG_Failure = -1; /* T_measResultSCG_Failure */
@@ -811,7 +822,8 @@ static int hf_nr_rrc_criticalExtensions_26 = -1; /* T_criticalExtensions_26 */
static int hf_nr_rrc_scgFailureInformationEUTRA_01 = -1; /* SCGFailureInformationEUTRA_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_26 = -1; /* T_criticalExtensionsFuture_26 */
static int hf_nr_rrc_failureReportSCG_EUTRA = -1; /* FailureReportSCG_EUTRA */
-static int hf_nr_rrc_nonCriticalExtension_39 = -1; /* T_nonCriticalExtension_26 */
+static int hf_nr_rrc_nonCriticalExtension_42 = -1; /* SCGFailureInformationEUTRA_v1590_IEs */
+static int hf_nr_rrc_nonCriticalExtension_43 = -1; /* T_nonCriticalExtension_26 */
static int hf_nr_rrc_failureType_03 = -1; /* T_failureType_03 */
static int hf_nr_rrc_measResultFreqListMRDC = -1; /* MeasResultFreqListFailMRDC */
static int hf_nr_rrc_measResultSCG_FailureMRDC = -1; /* T_measResultSCG_FailureMRDC */
@@ -820,16 +832,16 @@ static int hf_nr_rrc_criticalExtensions_27 = -1; /* T_criticalExtensions_27 */
static int hf_nr_rrc_securityModeCommand_01 = -1; /* SecurityModeCommand_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_27 = -1; /* T_criticalExtensionsFuture_27 */
static int hf_nr_rrc_securityConfigSMC = -1; /* SecurityConfigSMC */
-static int hf_nr_rrc_nonCriticalExtension_40 = -1; /* T_nonCriticalExtension_27 */
+static int hf_nr_rrc_nonCriticalExtension_44 = -1; /* T_nonCriticalExtension_27 */
static int hf_nr_rrc_securityAlgorithmConfig = -1; /* SecurityAlgorithmConfig */
static int hf_nr_rrc_criticalExtensions_28 = -1; /* T_criticalExtensions_28 */
static int hf_nr_rrc_securityModeComplete_01 = -1; /* SecurityModeComplete_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_28 = -1; /* T_criticalExtensionsFuture_28 */
-static int hf_nr_rrc_nonCriticalExtension_41 = -1; /* T_nonCriticalExtension_28 */
+static int hf_nr_rrc_nonCriticalExtension_45 = -1; /* T_nonCriticalExtension_28 */
static int hf_nr_rrc_criticalExtensions_29 = -1; /* T_criticalExtensions_29 */
static int hf_nr_rrc_securityModeFailure_01 = -1; /* SecurityModeFailure_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_29 = -1; /* T_criticalExtensionsFuture_29 */
-static int hf_nr_rrc_nonCriticalExtension_42 = -1; /* T_nonCriticalExtension_29 */
+static int hf_nr_rrc_nonCriticalExtension_46 = -1; /* T_nonCriticalExtension_29 */
static int hf_nr_rrc_cellSelectionInfo = -1; /* T_cellSelectionInfo */
static int hf_nr_rrc_q_RxLevMin = -1; /* Q_RxLevMin */
static int hf_nr_rrc_q_RxLevMinOffset = -1; /* INTEGER_1_8 */
@@ -852,7 +864,7 @@ static int hf_nr_rrc_plmnCommon = -1; /* UAC_AccessCategory1_Selecti
static int hf_nr_rrc_individualPLMNList = -1; /* SEQUENCE_SIZE_2_maxPLMN_OF_UAC_AccessCategory1_SelectionAssistanceInfo */
static int hf_nr_rrc_individualPLMNList_item = -1; /* UAC_AccessCategory1_SelectionAssistanceInfo */
static int hf_nr_rrc_useFullResumeID = -1; /* T_useFullResumeID */
-static int hf_nr_rrc_nonCriticalExtension_43 = -1; /* T_nonCriticalExtension_30 */
+static int hf_nr_rrc_nonCriticalExtension_47 = -1; /* T_nonCriticalExtension_30 */
static int hf_nr_rrc_criticalExtensions_30 = -1; /* T_criticalExtensions_30 */
static int hf_nr_rrc_systemInformation_01 = -1; /* SystemInformation_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_30 = -1; /* T_criticalExtensionsFuture_30 */
@@ -866,15 +878,15 @@ static int hf_nr_rrc_sib6 = -1; /* SIB6 */
static int hf_nr_rrc_sib7 = -1; /* SIB7 */
static int hf_nr_rrc_sib8 = -1; /* SIB8 */
static int hf_nr_rrc_sib9 = -1; /* SIB9 */
-static int hf_nr_rrc_nonCriticalExtension_44 = -1; /* T_nonCriticalExtension_31 */
+static int hf_nr_rrc_nonCriticalExtension_48 = -1; /* T_nonCriticalExtension_31 */
static int hf_nr_rrc_criticalExtensions_31 = -1; /* T_criticalExtensions_31 */
static int hf_nr_rrc_ueAssistanceInformation_02 = -1; /* UEAssistanceInformation_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_31 = -1; /* T_criticalExtensionsFuture_31 */
static int hf_nr_rrc_delayBudgetReport = -1; /* DelayBudgetReport */
-static int hf_nr_rrc_nonCriticalExtension_45 = -1; /* UEAssistanceInformation_v1540_IEs */
+static int hf_nr_rrc_nonCriticalExtension_49 = -1; /* UEAssistanceInformation_v1540_IEs */
static int hf_nr_rrc_type1 = -1; /* T_type1 */
static int hf_nr_rrc_overheatingAssistance = -1; /* OverheatingAssistance */
-static int hf_nr_rrc_nonCriticalExtension_46 = -1; /* T_nonCriticalExtension_32 */
+static int hf_nr_rrc_nonCriticalExtension_50 = -1; /* T_nonCriticalExtension_32 */
static int hf_nr_rrc_reducedMaxCCs = -1; /* T_reducedMaxCCs */
static int hf_nr_rrc_reducedCCsDL = -1; /* INTEGER_0_31 */
static int hf_nr_rrc_reducedCCsUL = -1; /* INTEGER_0_31 */
@@ -896,23 +908,23 @@ static int hf_nr_rrc_criticalExtensionsFuture_32 = -1; /* T_criticalExtensionsF
static int hf_nr_rrc_ue_CapabilityRAT_RequestList = -1; /* UE_CapabilityRAT_RequestList */
static int hf_nr_rrc_ue_CapabilityEnquiryExt = -1; /* T_ue_CapabilityEnquiryExt */
static int hf_nr_rrc_capabilityRequestFilterCommon = -1; /* UE_CapabilityRequestFilterCommon */
-static int hf_nr_rrc_nonCriticalExtension_47 = -1; /* T_nonCriticalExtension_33 */
+static int hf_nr_rrc_nonCriticalExtension_51 = -1; /* T_nonCriticalExtension_33 */
static int hf_nr_rrc_criticalExtensions_33 = -1; /* T_criticalExtensions_33 */
static int hf_nr_rrc_ueCapabilityInformation_01 = -1; /* UECapabilityInformation_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_33 = -1; /* T_criticalExtensionsFuture_33 */
static int hf_nr_rrc_ue_CapabilityRAT_ContainerList = -1; /* UE_CapabilityRAT_ContainerList */
-static int hf_nr_rrc_nonCriticalExtension_48 = -1; /* T_nonCriticalExtension_34 */
+static int hf_nr_rrc_nonCriticalExtension_52 = -1; /* T_nonCriticalExtension_34 */
static int hf_nr_rrc_criticalExtensions_34 = -1; /* T_criticalExtensions_34 */
static int hf_nr_rrc_ulInformationTransfer_01 = -1; /* ULInformationTransfer_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_34 = -1; /* T_criticalExtensionsFuture_34 */
-static int hf_nr_rrc_nonCriticalExtension_49 = -1; /* T_nonCriticalExtension_35 */
+static int hf_nr_rrc_nonCriticalExtension_53 = -1; /* T_nonCriticalExtension_35 */
static int hf_nr_rrc_criticalExtensions_35 = -1; /* T_criticalExtensions_35 */
static int hf_nr_rrc_c1_14 = -1; /* T_c1_14 */
static int hf_nr_rrc_ulInformationTransferMRDC_01 = -1; /* ULInformationTransferMRDC_IEs */
static int hf_nr_rrc_criticalExtensionsFuture_35 = -1; /* T_criticalExtensionsFuture_35 */
static int hf_nr_rrc_ul_DCCH_MessageNR = -1; /* T_ul_DCCH_MessageNR */
static int hf_nr_rrc_ul_DCCH_MessageEUTRA = -1; /* T_ul_DCCH_MessageEUTRA */
-static int hf_nr_rrc_nonCriticalExtension_50 = -1; /* T_nonCriticalExtension_36 */
+static int hf_nr_rrc_nonCriticalExtension_54 = -1; /* T_nonCriticalExtension_36 */
static int hf_nr_rrc_cellReselectionInfoCommon = -1; /* T_cellReselectionInfoCommon */
static int hf_nr_rrc_nrofSS_BlocksToAverage = -1; /* INTEGER_2_maxNrofSS_BlocksToAverage */
static int hf_nr_rrc_absThreshSS_BlocksConsolidation = -1; /* ThresholdNR */
@@ -976,7 +988,7 @@ static int hf_nr_rrc_threshX_Q_01 = -1; /* T_threshX_Q_01 */
static int hf_nr_rrc_EUTRA_FreqBlackCellList_item = -1; /* EUTRA_PhysCellIdRange */
static int hf_nr_rrc_EUTRA_FreqNeighCellList_item = -1; /* EUTRA_FreqNeighCellInfo */
static int hf_nr_rrc_physCellId_01 = -1; /* EUTRA_PhysCellId */
-static int hf_nr_rrc_dummy = -1; /* EUTRA_Q_OffsetRange */
+static int hf_nr_rrc_dummy_01 = -1; /* EUTRA_Q_OffsetRange */
static int hf_nr_rrc_messageIdentifier = -1; /* T_messageIdentifier */
static int hf_nr_rrc_serialNumber = -1; /* T_serialNumber */
static int hf_nr_rrc_warningType = -1; /* T_warningType */
@@ -1342,7 +1354,7 @@ static int hf_nr_rrc_subbands19_v1530 = -1; /* BIT_STRING_SIZE_19 */
static int hf_nr_rrc_timeRestrictionForChannelMeasurements = -1; /* T_timeRestrictionForChannelMeasurements */
static int hf_nr_rrc_timeRestrictionForInterferenceMeasurements = -1; /* T_timeRestrictionForInterferenceMeasurements */
static int hf_nr_rrc_codebookConfig = -1; /* CodebookConfig */
-static int hf_nr_rrc_dummy_01 = -1; /* T_dummy */
+static int hf_nr_rrc_dummy_02 = -1; /* T_dummy */
static int hf_nr_rrc_groupBasedBeamReporting = -1; /* T_groupBasedBeamReporting */
static int hf_nr_rrc_enabled = -1; /* NULL */
static int hf_nr_rrc_disabled = -1; /* T_disabled */
@@ -1842,7 +1854,7 @@ static int hf_nr_rrc_phr_PeriodicTimer = -1; /* T_phr_PeriodicTimer */
static int hf_nr_rrc_phr_ProhibitTimer = -1; /* T_phr_ProhibitTimer */
static int hf_nr_rrc_phr_Tx_PowerFactorChange = -1; /* T_phr_Tx_PowerFactorChange */
static int hf_nr_rrc_multiplePHR = -1; /* BOOLEAN */
-static int hf_nr_rrc_dummy_02 = -1; /* BOOLEAN */
+static int hf_nr_rrc_dummy_03 = -1; /* BOOLEAN */
static int hf_nr_rrc_phr_Type2OtherCell = -1; /* BOOLEAN */
static int hf_nr_rrc_phr_ModeOtherCG = -1; /* T_phr_ModeOtherCG */
static int hf_nr_rrc_harq_ACK_SpatialBundlingPUCCH = -1; /* T_harq_ACK_SpatialBundlingPUCCH */
@@ -2130,7 +2142,7 @@ static int hf_nr_rrc_n10 = -1; /* BIT_STRING_SIZE_10 */
static int hf_nr_rrc_n20 = -1; /* BIT_STRING_SIZE_20 */
static int hf_nr_rrc_n40 = -1; /* BIT_STRING_SIZE_40 */
static int hf_nr_rrc_controlResourceSet = -1; /* ControlResourceSetId */
-static int hf_nr_rrc_dummy_03 = -1; /* T_dummy_01 */
+static int hf_nr_rrc_dummy_04 = -1; /* T_dummy_01 */
static int hf_nr_rrc_carrierFreqDL = -1; /* INTEGER_0_16383 */
static int hf_nr_rrc_carrierBandwidthDL = -1; /* T_carrierBandwidthDL */
static int hf_nr_rrc_mbsfn_SubframeConfigList = -1; /* EUTRA_MBSFN_SubframeConfigList */
@@ -2311,7 +2323,7 @@ static int hf_nr_rrc_setup_47 = -1; /* CSI_MeasConfig */
static int hf_nr_rrc_sCellDeactivationTimer = -1; /* T_sCellDeactivationTimer */
static int hf_nr_rrc_crossCarrierSchedulingConfig = -1; /* CrossCarrierSchedulingConfig */
static int hf_nr_rrc_tag_Id = -1; /* TAG_Id */
-static int hf_nr_rrc_dummy_04 = -1; /* T_dummy_02 */
+static int hf_nr_rrc_dummy_05 = -1; /* T_dummy_02 */
static int hf_nr_rrc_pathlossReferenceLinking = -1; /* T_pathlossReferenceLinking */
static int hf_nr_rrc_servingCellMO = -1; /* MeasObjectId */
static int hf_nr_rrc_lte_CRS_ToMatchAround = -1; /* T_lte_CRS_ToMatchAround */
@@ -2535,7 +2547,7 @@ static int hf_nr_rrc_n311_01 = -1; /* T_n311_01 */
static int hf_nr_rrc_t319 = -1; /* T_t319 */
static int hf_nr_rrc_frequencyInfoUL = -1; /* FrequencyInfoUL */
static int hf_nr_rrc_initialUplinkBWP_01 = -1; /* BWP_UplinkCommon */
-static int hf_nr_rrc_dummy_05 = -1; /* TimeAlignmentTimer */
+static int hf_nr_rrc_dummy_06 = -1; /* TimeAlignmentTimer */
static int hf_nr_rrc_frequencyInfoUL_01 = -1; /* FrequencyInfoUL_SIB */
static int hf_nr_rrc_timeAlignmentTimerCommon = -1; /* TimeAlignmentTimer */
static int hf_nr_rrc_UplinkTxDirectCurrentList_item = -1; /* UplinkTxDirectCurrentCell */
@@ -2555,6 +2567,7 @@ static int hf_nr_rrc_BandCombinationList_v1550_item = -1; /* BandCombination_v1
static int hf_nr_rrc_BandCombinationList_v1560_item = -1; /* BandCombination_v1560 */
static int hf_nr_rrc_BandCombinationList_v1570_item = -1; /* BandCombination_v1570 */
static int hf_nr_rrc_BandCombinationList_v1580_item = -1; /* BandCombination_v1580 */
+static int hf_nr_rrc_BandCombinationList_v1590_item = -1; /* BandCombination_v1590 */
static int hf_nr_rrc_bandList = -1; /* SEQUENCE_SIZE_1_maxSimultaneousBands_OF_BandParameters */
static int hf_nr_rrc_bandList_item = -1; /* BandParameters */
static int hf_nr_rrc_featureSetCombination = -1; /* FeatureSetCombinationId */
@@ -2573,6 +2586,8 @@ static int hf_nr_rrc_ca_ParametersEUTRA_v1560 = -1; /* CA_ParametersEUTRA_v1560
static int hf_nr_rrc_ca_ParametersNR_v1560 = -1; /* CA_ParametersNR_v1560 */
static int hf_nr_rrc_ca_ParametersEUTRA_v1570 = -1; /* CA_ParametersEUTRA_v1570 */
static int hf_nr_rrc_mrdc_Parameters_v1580 = -1; /* MRDC_Parameters_v1580 */
+static int hf_nr_rrc_supportedBandwidthCombinationSetIntraENDC = -1; /* BIT_STRING_SIZE_1_32 */
+static int hf_nr_rrc_mrdc_Parameters_v1590 = -1; /* MRDC_Parameters_v1590 */
static int hf_nr_rrc_eutra_01 = -1; /* T_eutra */
static int hf_nr_rrc_bandEUTRA = -1; /* FreqBandIndicatorEUTRA */
static int hf_nr_rrc_ca_BandwidthClassDL_EUTRA = -1; /* CA_BandwidthClassEUTRA */
@@ -2600,7 +2615,7 @@ static int hf_nr_rrc_ue_CA_PowerClass_N = -1; /* T_ue_CA_PowerClass_N */
static int hf_nr_rrc_supportedBandwidthCombinationSetEUTRA_v1530 = -1; /* BIT_STRING_SIZE_1_32 */
static int hf_nr_rrc_fd_MIMO_TotalWeightedLayers = -1; /* INTEGER_2_128 */
static int hf_nr_rrc_dl_1024QAM_TotalWeightedLayers = -1; /* INTEGER_0_10 */
-static int hf_nr_rrc_dummy_06 = -1; /* T_dummy_03 */
+static int hf_nr_rrc_dummy_07 = -1; /* T_dummy_03 */
static int hf_nr_rrc_parallelTxSRS_PUCCH_PUSCH = -1; /* T_parallelTxSRS_PUCCH_PUSCH */
static int hf_nr_rrc_parallelTxPRACH_SRS_PUCCH_PUSCH = -1; /* T_parallelTxPRACH_SRS_PUCCH_PUSCH */
static int hf_nr_rrc_simultaneousRxTxInterBandCA = -1; /* T_simultaneousRxTxInterBandCA */
@@ -2614,7 +2629,7 @@ static int hf_nr_rrc_maxNumberSimultaneousNZP_CSI_RS_ActBWP_AllCC = -1; /* INTE
static int hf_nr_rrc_totalNumberPortsSimultaneousNZP_CSI_RS_ActBWP_AllCC = -1; /* INTEGER_2_256 */
static int hf_nr_rrc_simultaneousCSI_ReportsAllCC = -1; /* INTEGER_5_32 */
static int hf_nr_rrc_dualPA_Architecture = -1; /* T_dualPA_Architecture */
-static int hf_nr_rrc_dummy_07 = -1; /* T_dummy_04 */
+static int hf_nr_rrc_dummy_08 = -1; /* T_dummy_04 */
static int hf_nr_rrc_diffNumerologyWithinPUCCH_GroupLargerSCS = -1; /* T_diffNumerologyWithinPUCCH_GroupLargerSCS */
static int hf_nr_rrc_ca_ParametersNR_ForDC = -1; /* CA_ParametersNR */
static int hf_nr_rrc_ca_ParametersNR_ForDC_v1540 = -1; /* CA_ParametersNR_v1540 */
@@ -2799,7 +2814,7 @@ static int hf_nr_rrc_rsrqMeasWidebandEUTRA = -1; /* T_rsrqMeasWidebandEUTRA */
static int hf_nr_rrc_mac_ParametersCommon = -1; /* MAC_ParametersCommon */
static int hf_nr_rrc_mac_ParametersXDD_Diff = -1; /* MAC_ParametersXDD_Diff */
static int hf_nr_rrc_lcp_Restriction = -1; /* T_lcp_Restriction */
-static int hf_nr_rrc_dummy_08 = -1; /* T_dummy_05 */
+static int hf_nr_rrc_dummy_09 = -1; /* T_dummy_05 */
static int hf_nr_rrc_lch_ToSCellRestriction = -1; /* T_lch_ToSCellRestriction */
static int hf_nr_rrc_recommendedBitRate = -1; /* T_recommendedBitRate */
static int hf_nr_rrc_recommendedBitRateQuery = -1; /* T_recommendedBitRateQuery */
@@ -2968,13 +2983,14 @@ static int hf_nr_rrc_dualPA_Architecture_01 = -1; /* T_dualPA_Architecture_01 *
static int hf_nr_rrc_intraBandENDC_Support = -1; /* T_intraBandENDC_Support */
static int hf_nr_rrc_ul_TimingAlignmentEUTRA_NR = -1; /* T_ul_TimingAlignmentEUTRA_NR */
static int hf_nr_rrc_dynamicPowerSharingNEDC = -1; /* T_dynamicPowerSharingNEDC */
+static int hf_nr_rrc_interBandContiguousMRDC = -1; /* T_interBandContiguousMRDC */
static int hf_nr_rrc_measAndMobParametersNRDC = -1; /* MeasAndMobParametersMRDC */
static int hf_nr_rrc_generalParametersNRDC = -1; /* GeneralParametersMRDC_XDD_Diff */
static int hf_nr_rrc_fdd_Add_UE_NRDC_Capabilities = -1; /* UE_MRDC_CapabilityAddXDD_Mode */
static int hf_nr_rrc_tdd_Add_UE_NRDC_Capabilities = -1; /* UE_MRDC_CapabilityAddXDD_Mode */
static int hf_nr_rrc_fr1_Add_UE_NRDC_Capabilities = -1; /* UE_MRDC_CapabilityAddFRX_Mode */
static int hf_nr_rrc_fr2_Add_UE_NRDC_Capabilities = -1; /* UE_MRDC_CapabilityAddFRX_Mode */
-static int hf_nr_rrc_dummy_09 = -1; /* T_dummy_06 */
+static int hf_nr_rrc_dummy_10 = -1; /* T_dummy_06 */
static int hf_nr_rrc_sfn_SyncNRDC = -1; /* T_sfn_SyncNRDC */
static int hf_nr_rrc_supportedROHC_Profiles = -1; /* T_supportedROHC_Profiles */
static int hf_nr_rrc_profile0x0000 = -1; /* BOOLEAN */
@@ -3026,7 +3042,7 @@ static int hf_nr_rrc_dynamicHARQ_ACK_CodeB_CBG_Retx_DL = -1; /* T_dynamicHARQ_A
static int hf_nr_rrc_rateMatchingResrcSetSemi_Static = -1; /* T_rateMatchingResrcSetSemi_Static */
static int hf_nr_rrc_rateMatchingResrcSetDynamic = -1; /* T_rateMatchingResrcSetDynamic */
static int hf_nr_rrc_bwp_SwitchingDelay = -1; /* T_bwp_SwitchingDelay */
-static int hf_nr_rrc_dummy_10 = -1; /* T_dummy_07 */
+static int hf_nr_rrc_dummy_11 = -1; /* T_dummy_07 */
static int hf_nr_rrc_maxNumberSearchSpaces = -1; /* T_maxNumberSearchSpaces */
static int hf_nr_rrc_rateMatchingCtrlResrcSetDynamic = -1; /* T_rateMatchingCtrlResrcSetDynamic */
static int hf_nr_rrc_maxLayersMIMO_Indication = -1; /* T_maxLayersMIMO_Indication */
@@ -3097,7 +3113,7 @@ static int hf_nr_rrc_scs_60kHz_10 = -1; /* T_scs_60kHz_07 */
static int hf_nr_rrc_pdsch_256QAM_FR1 = -1; /* T_pdsch_256QAM_FR1 */
static int hf_nr_rrc_pdsch_RE_MappingFR1_PerSymbol = -1; /* T_pdsch_RE_MappingFR1_PerSymbol */
static int hf_nr_rrc_pdsch_RE_MappingFR1_PerSlot = -1; /* T_pdsch_RE_MappingFR1_PerSlot */
-static int hf_nr_rrc_dummy_11 = -1; /* T_dummy_08 */
+static int hf_nr_rrc_dummy_12 = -1; /* T_dummy_08 */
static int hf_nr_rrc_pdsch_RE_MappingFR2_PerSymbol = -1; /* T_pdsch_RE_MappingFR2_PerSymbol */
static int hf_nr_rrc_pCell_FR2 = -1; /* T_pCell_FR2 */
static int hf_nr_rrc_pdsch_RE_MappingFR2_PerSlot = -1; /* T_pdsch_RE_MappingFR2_PerSlot */
@@ -3146,10 +3162,22 @@ static int hf_nr_rrc_maxUplinkDutyCycle_PC2_FR1 = -1; /* T_maxUplinkDutyCycle_P
static int hf_nr_rrc_pucch_SpatialRelInfoMAC_CE = -1; /* T_pucch_SpatialRelInfoMAC_CE */
static int hf_nr_rrc_powerBoosting_pi2BPSK = -1; /* T_powerBoosting_pi2BPSK */
static int hf_nr_rrc_maxUplinkDutyCycle_FR2 = -1; /* T_maxUplinkDutyCycle_FR2 */
+static int hf_nr_rrc_channelBWs_DL_v1590 = -1; /* T_channelBWs_DL_v1590 */
+static int hf_nr_rrc_fr1_02 = -1; /* T_fr1_02 */
+static int hf_nr_rrc_scs_15kHz_09 = -1; /* BIT_STRING_SIZE_16 */
+static int hf_nr_rrc_scs_30kHz_09 = -1; /* BIT_STRING_SIZE_16 */
+static int hf_nr_rrc_scs_60kHz_13 = -1; /* BIT_STRING_SIZE_16 */
+static int hf_nr_rrc_fr2_02 = -1; /* T_fr2_02 */
+static int hf_nr_rrc_scs_60kHz_14 = -1; /* BIT_STRING_SIZE_8 */
+static int hf_nr_rrc_scs_120kHz_10 = -1; /* BIT_STRING_SIZE_8 */
+static int hf_nr_rrc_channelBWs_UL_v1590 = -1; /* T_channelBWs_UL_v1590 */
+static int hf_nr_rrc_fr1_03 = -1; /* T_fr1_03 */
+static int hf_nr_rrc_fr2_03 = -1; /* T_fr2_03 */
static int hf_nr_rrc_srs_SwitchingTimeRequested_01 = -1; /* T_srs_SwitchingTimeRequested_01 */
static int hf_nr_rrc_supportedBandCombinationListNEDC_Only = -1; /* BandCombinationList */
static int hf_nr_rrc_supportedBandCombinationList_v1570 = -1; /* BandCombinationList_v1570 */
static int hf_nr_rrc_supportedBandCombinationList_v1580 = -1; /* BandCombinationList_v1580 */
+static int hf_nr_rrc_supportedBandCombinationList_v1590 = -1; /* BandCombinationList_v1590 */
static int hf_nr_rrc_am_WithShortSN = -1; /* T_am_WithShortSN */
static int hf_nr_rrc_um_WithShortSN = -1; /* T_um_WithShortSN */
static int hf_nr_rrc_um_WithLongSN = -1; /* T_um_WithLongSN */
@@ -3158,8 +3186,8 @@ static int hf_nr_rrc_switchingTimeDL = -1; /* T_switchingTimeDL */
static int hf_nr_rrc_switchingTimeUL = -1; /* T_switchingTimeUL */
static int hf_nr_rrc_switchingTimeDL_01 = -1; /* T_switchingTimeDL_01 */
static int hf_nr_rrc_switchingTimeUL_01 = -1; /* T_switchingTimeUL_01 */
-static int hf_nr_rrc_fr1_02 = -1; /* T_fr1_02 */
-static int hf_nr_rrc_fr2_02 = -1; /* T_fr2_02 */
+static int hf_nr_rrc_fr1_04 = -1; /* T_fr1_04 */
+static int hf_nr_rrc_fr2_04 = -1; /* T_fr2_04 */
static int hf_nr_rrc_UE_CapabilityRAT_ContainerList_item = -1; /* UE_CapabilityRAT_Container */
static int hf_nr_rrc_rat_Type = -1; /* RAT_Type */
static int hf_nr_rrc_ue_CapabilityRAT_Container = -1; /* T_ue_CapabilityRAT_Container */
@@ -3170,9 +3198,9 @@ static int hf_nr_rrc_omitEN_DC = -1; /* T_omitEN_DC */
static int hf_nr_rrc_includeNR_DC = -1; /* T_includeNR_DC */
static int hf_nr_rrc_includeNE_DC = -1; /* T_includeNE_DC */
static int hf_nr_rrc_frequencyBandListFilter = -1; /* FreqBandList */
-static int hf_nr_rrc_nonCriticalExtension_51 = -1; /* UE_CapabilityRequestFilterNR_v1540 */
+static int hf_nr_rrc_nonCriticalExtension_55 = -1; /* UE_CapabilityRequestFilterNR_v1540 */
static int hf_nr_rrc_srs_SwitchingTimeRequest = -1; /* T_srs_SwitchingTimeRequest */
-static int hf_nr_rrc_nonCriticalExtension_52 = -1; /* T_nonCriticalExtension_37 */
+static int hf_nr_rrc_nonCriticalExtension_56 = -1; /* T_nonCriticalExtension_37 */
static int hf_nr_rrc_measAndMobParametersMRDC = -1; /* MeasAndMobParametersMRDC */
static int hf_nr_rrc_phy_ParametersMRDC_v1530 = -1; /* Phy_ParametersMRDC */
static int hf_nr_rrc_rf_ParametersMRDC = -1; /* RF_ParametersMRDC */
@@ -3184,12 +3212,12 @@ static int hf_nr_rrc_fr2_Add_UE_MRDC_Capabilities = -1; /* UE_MRDC_CapabilityAd
static int hf_nr_rrc_featureSetCombinations = -1; /* SEQUENCE_SIZE_1_maxFeatureSetCombinations_OF_FeatureSetCombination */
static int hf_nr_rrc_featureSetCombinations_item = -1; /* FeatureSetCombination */
static int hf_nr_rrc_pdcp_ParametersMRDC_v1530 = -1; /* PDCP_ParametersMRDC */
-static int hf_nr_rrc_nonCriticalExtension_53 = -1; /* UE_MRDC_Capability_v1560 */
+static int hf_nr_rrc_nonCriticalExtension_57 = -1; /* UE_MRDC_Capability_v1560 */
static int hf_nr_rrc_receivedFilters = -1; /* T_receivedFilters */
static int hf_nr_rrc_measAndMobParametersMRDC_v1560 = -1; /* MeasAndMobParametersMRDC_v1560 */
static int hf_nr_rrc_fdd_Add_UE_MRDC_Capabilities_v1560 = -1; /* UE_MRDC_CapabilityAddXDD_Mode_v1560 */
static int hf_nr_rrc_tdd_Add_UE_MRDC_Capabilities_v1560 = -1; /* UE_MRDC_CapabilityAddXDD_Mode_v1560 */
-static int hf_nr_rrc_nonCriticalExtension_54 = -1; /* T_nonCriticalExtension_38 */
+static int hf_nr_rrc_nonCriticalExtension_58 = -1; /* T_nonCriticalExtension_38 */
static int hf_nr_rrc_generalParametersMRDC_XDD_Diff = -1; /* GeneralParametersMRDC_XDD_Diff */
static int hf_nr_rrc_splitSRB_WithOneUL_Path = -1; /* T_splitSRB_WithOneUL_Path */
static int hf_nr_rrc_splitDRB_withUL_Both_MCG_SCG = -1; /* T_splitDRB_withUL_Both_MCG_SCG */
@@ -3207,28 +3235,28 @@ static int hf_nr_rrc_tdd_Add_UE_NR_Capabilities = -1; /* UE_NR_CapabilityAddXDD
static int hf_nr_rrc_fr1_Add_UE_NR_Capabilities = -1; /* UE_NR_CapabilityAddFRX_Mode */
static int hf_nr_rrc_fr2_Add_UE_NR_Capabilities = -1; /* UE_NR_CapabilityAddFRX_Mode */
static int hf_nr_rrc_featureSets = -1; /* FeatureSets */
-static int hf_nr_rrc_nonCriticalExtension_55 = -1; /* UE_NR_Capability_v1530 */
+static int hf_nr_rrc_nonCriticalExtension_59 = -1; /* UE_NR_Capability_v1530 */
static int hf_nr_rrc_fdd_Add_UE_NR_Capabilities_v1530 = -1; /* UE_NR_CapabilityAddXDD_Mode_v1530 */
static int hf_nr_rrc_tdd_Add_UE_NR_Capabilities_v1530 = -1; /* UE_NR_CapabilityAddXDD_Mode_v1530 */
-static int hf_nr_rrc_dummy_12 = -1; /* T_dummy_09 */
+static int hf_nr_rrc_dummy_13 = -1; /* T_dummy_09 */
static int hf_nr_rrc_interRAT_Parameters = -1; /* InterRAT_Parameters */
static int hf_nr_rrc_inactiveState = -1; /* T_inactiveState */
static int hf_nr_rrc_delayBudgetReporting = -1; /* T_delayBudgetReporting */
-static int hf_nr_rrc_nonCriticalExtension_56 = -1; /* UE_NR_Capability_v1540 */
+static int hf_nr_rrc_nonCriticalExtension_60 = -1; /* UE_NR_Capability_v1540 */
static int hf_nr_rrc_sdap_Parameters = -1; /* SDAP_Parameters */
static int hf_nr_rrc_overheatingInd = -1; /* T_overheatingInd */
static int hf_nr_rrc_ims_Parameters = -1; /* IMS_Parameters */
static int hf_nr_rrc_fr1_Add_UE_NR_Capabilities_v1540 = -1; /* UE_NR_CapabilityAddFRX_Mode_v1540 */
static int hf_nr_rrc_fr2_Add_UE_NR_Capabilities_v1540 = -1; /* UE_NR_CapabilityAddFRX_Mode_v1540 */
static int hf_nr_rrc_fr1_fr2_Add_UE_NR_Capabilities = -1; /* UE_NR_CapabilityAddFRX_Mode */
-static int hf_nr_rrc_nonCriticalExtension_57 = -1; /* UE_NR_Capability_v1550 */
+static int hf_nr_rrc_nonCriticalExtension_61 = -1; /* UE_NR_Capability_v1550 */
static int hf_nr_rrc_reducedCP_Latency = -1; /* T_reducedCP_Latency */
-static int hf_nr_rrc_nonCriticalExtension_58 = -1; /* UE_NR_Capability_v1560 */
+static int hf_nr_rrc_nonCriticalExtension_62 = -1; /* UE_NR_Capability_v1560 */
static int hf_nr_rrc_nrdc_Parameters = -1; /* NRDC_Parameters */
static int hf_nr_rrc_receivedFilters_01 = -1; /* T_receivedFilters_01 */
-static int hf_nr_rrc_nonCriticalExtension_59 = -1; /* UE_NR_Capability_v1570 */
+static int hf_nr_rrc_nonCriticalExtension_63 = -1; /* UE_NR_Capability_v1570 */
static int hf_nr_rrc_nrdc_Parameters_v1570 = -1; /* NRDC_Parameters_v1570 */
-static int hf_nr_rrc_nonCriticalExtension_60 = -1; /* T_nonCriticalExtension_39 */
+static int hf_nr_rrc_nonCriticalExtension_64 = -1; /* T_nonCriticalExtension_39 */
static int hf_nr_rrc_EUTRA_MBSFN_SubframeConfigList_item = -1; /* EUTRA_MBSFN_SubframeConfig */
static int hf_nr_rrc_radioframeAllocationPeriod = -1; /* T_radioframeAllocationPeriod */
static int hf_nr_rrc_radioframeAllocationOffset = -1; /* INTEGER_0_7 */
@@ -3323,6 +3351,9 @@ static gint ett_nr_rrc_T_requestedCellInfo = -1;
static gint ett_nr_rrc_CG_Config_v1560_IEs = -1;
static gint ett_nr_rrc_T_reportCGI_RequestEUTRA = -1;
static gint ett_nr_rrc_T_requestedCellInfoEUTRA = -1;
+static gint ett_nr_rrc_CG_Config_v1590_IEs = -1;
+static gint ett_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR = -1;
+static gint ett_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA = -1;
static gint ett_nr_rrc_T_nonCriticalExtension_02 = -1;
static gint ett_nr_rrc_PH_TypeListSCG = -1;
static gint ett_nr_rrc_PH_InfoSCG = -1;
@@ -3348,6 +3379,7 @@ static gint ett_nr_rrc_CG_ConfigInfo_v1560_IEs = -1;
static gint ett_nr_rrc_T_scgFailureInfoEUTRA = -1;
static gint ett_nr_rrc_T_measResultReportCGI_EUTRA = -1;
static gint ett_nr_rrc_CG_ConfigInfo_v1570_IEs = -1;
+static gint ett_nr_rrc_CG_ConfigInfo_v1590_IEs = -1;
static gint ett_nr_rrc_T_nonCriticalExtension_03 = -1;
static gint ett_nr_rrc_SFTD_FrequencyList_NR = -1;
static gint ett_nr_rrc_SFTD_FrequencyList_EUTRA = -1;
@@ -3580,6 +3612,7 @@ static gint ett_nr_rrc_SCGFailureInformation = -1;
static gint ett_nr_rrc_T_criticalExtensions_25 = -1;
static gint ett_nr_rrc_T_criticalExtensionsFuture_25 = -1;
static gint ett_nr_rrc_SCGFailureInformation_IEs = -1;
+static gint ett_nr_rrc_SCGFailureInformation_v1590_IEs = -1;
static gint ett_nr_rrc_T_nonCriticalExtension_25 = -1;
static gint ett_nr_rrc_FailureReportSCG = -1;
static gint ett_nr_rrc_MeasResultFreqList = -1;
@@ -3587,6 +3620,7 @@ static gint ett_nr_rrc_SCGFailureInformationEUTRA = -1;
static gint ett_nr_rrc_T_criticalExtensions_26 = -1;
static gint ett_nr_rrc_T_criticalExtensionsFuture_26 = -1;
static gint ett_nr_rrc_SCGFailureInformationEUTRA_IEs = -1;
+static gint ett_nr_rrc_SCGFailureInformationEUTRA_v1590_IEs = -1;
static gint ett_nr_rrc_T_nonCriticalExtension_26 = -1;
static gint ett_nr_rrc_FailureReportSCG_EUTRA = -1;
static gint ett_nr_rrc_MeasResultFreqListFailMRDC = -1;
@@ -4329,6 +4363,7 @@ static gint ett_nr_rrc_BandCombinationList_v1550 = -1;
static gint ett_nr_rrc_BandCombinationList_v1560 = -1;
static gint ett_nr_rrc_BandCombinationList_v1570 = -1;
static gint ett_nr_rrc_BandCombinationList_v1580 = -1;
+static gint ett_nr_rrc_BandCombinationList_v1590 = -1;
static gint ett_nr_rrc_BandCombination = -1;
static gint ett_nr_rrc_SEQUENCE_SIZE_1_maxSimultaneousBands_OF_BandParameters = -1;
static gint ett_nr_rrc_BandCombination_v1540 = -1;
@@ -4337,6 +4372,7 @@ static gint ett_nr_rrc_BandCombination_v1550 = -1;
static gint ett_nr_rrc_BandCombination_v1560 = -1;
static gint ett_nr_rrc_BandCombination_v1570 = -1;
static gint ett_nr_rrc_BandCombination_v1580 = -1;
+static gint ett_nr_rrc_BandCombination_v1590 = -1;
static gint ett_nr_rrc_BandParameters = -1;
static gint ett_nr_rrc_T_eutra = -1;
static gint ett_nr_rrc_T_nr = -1;
@@ -4452,6 +4488,7 @@ static gint ett_nr_rrc_SpatialRelations = -1;
static gint ett_nr_rrc_DummyI = -1;
static gint ett_nr_rrc_MRDC_Parameters = -1;
static gint ett_nr_rrc_MRDC_Parameters_v1580 = -1;
+static gint ett_nr_rrc_MRDC_Parameters_v1590 = -1;
static gint ett_nr_rrc_NRDC_Parameters = -1;
static gint ett_nr_rrc_T_dummy_06 = -1;
static gint ett_nr_rrc_NRDC_Parameters_v1570 = -1;
@@ -4480,6 +4517,12 @@ static gint ett_nr_rrc_T_fr2 = -1;
static gint ett_nr_rrc_T_channelBWs_UL = -1;
static gint ett_nr_rrc_T_fr1_01 = -1;
static gint ett_nr_rrc_T_fr2_01 = -1;
+static gint ett_nr_rrc_T_channelBWs_DL_v1590 = -1;
+static gint ett_nr_rrc_T_fr1_02 = -1;
+static gint ett_nr_rrc_T_fr2_02 = -1;
+static gint ett_nr_rrc_T_channelBWs_UL_v1590 = -1;
+static gint ett_nr_rrc_T_fr1_03 = -1;
+static gint ett_nr_rrc_T_fr2_03 = -1;
static gint ett_nr_rrc_RF_ParametersMRDC = -1;
static gint ett_nr_rrc_RLC_Parameters = -1;
static gint ett_nr_rrc_SDAP_Parameters = -1;
@@ -6376,13 +6419,28 @@ dissect_nr_rrc_ConfigRestrictInfoSCG_eag_1(tvbuff_t *tvb _U_, int offset _U_, as
}
+static const per_sequence_t ConfigRestrictInfoSCG_eag_2_sequence[] = {
+ { &hf_nr_rrc_maxIntraFreqMeasIdentitiesSCG, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_INTEGER_1_maxMeasIdentitiesMN },
+ { &hf_nr_rrc_maxInterFreqMeasIdentitiesSCG, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_INTEGER_1_maxMeasIdentitiesMN },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_ConfigRestrictInfoSCG_eag_2(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence_eag(tvb, offset, actx, tree, ConfigRestrictInfoSCG_eag_2_sequence);
+
+ return offset;
+}
+
+
static const per_sequence_t ConfigRestrictInfoSCG_sequence[] = {
{ &hf_nr_rrc_allowedBC_ListMRDC, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_BandCombinationInfoList },
{ &hf_nr_rrc_powerCoordination_FR1, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_powerCoordination_FR1 },
{ &hf_nr_rrc_servCellIndexRangeSCG, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_servCellIndexRangeSCG },
{ &hf_nr_rrc_maxMeasFreqsSCG, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_INTEGER_1_maxMeasFreqsMN },
- { &hf_nr_rrc_maxMeasIdentitiesSCG_NR, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_INTEGER_1_maxMeasIdentitiesMN },
+ { &hf_nr_rrc_dummy , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_INTEGER_1_maxMeasIdentitiesMN },
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_ConfigRestrictInfoSCG_eag_1 },
+ { &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_ConfigRestrictInfoSCG_eag_2 },
{ NULL, 0, 0, NULL }
};
@@ -7807,6 +7865,34 @@ dissect_nr_rrc_T_reportCGI_RequestEUTRA(tvbuff_t *tvb _U_, int offset _U_, asn1_
}
+static const per_sequence_t SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR_sequence_of[1] = {
+ { &hf_nr_rrc_scellFrequenciesSN_NR_item, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_ARFCN_ValueNR },
+};
+
+static int
+dissect_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_constrained_sequence_of(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR, SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR_sequence_of,
+ 1, maxNrofServingCells_1, FALSE);
+
+ return offset;
+}
+
+
+static const per_sequence_t SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA_sequence_of[1] = {
+ { &hf_nr_rrc_scellFrequenciesSN_EUTRA_item, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_ARFCN_ValueEUTRA },
+};
+
+static int
+dissect_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_constrained_sequence_of(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA, SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA_sequence_of,
+ 1, maxNrofServingCells_1, FALSE);
+
+ return offset;
+}
+
+
static const per_sequence_t T_nonCriticalExtension_02_sequence[] = {
{ NULL, 0, 0, NULL }
};
@@ -7820,6 +7906,22 @@ dissect_nr_rrc_T_nonCriticalExtension_02(tvbuff_t *tvb _U_, int offset _U_, asn1
}
+static const per_sequence_t CG_Config_v1590_IEs_sequence[] = {
+ { &hf_nr_rrc_scellFrequenciesSN_NR, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR },
+ { &hf_nr_rrc_scellFrequenciesSN_EUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA },
+ { &hf_nr_rrc_nonCriticalExtension_05, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_02 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_CG_Config_v1590_IEs(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_CG_Config_v1590_IEs, CG_Config_v1590_IEs_sequence);
+
+ return offset;
+}
+
+
static const per_sequence_t CG_Config_v1560_IEs_sequence[] = {
{ &hf_nr_rrc_pSCellFrequencyEUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_ARFCN_ValueEUTRA },
{ &hf_nr_rrc_scg_CellGroupConfigEUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_scg_CellGroupConfigEUTRA },
@@ -7828,7 +7930,7 @@ static const per_sequence_t CG_Config_v1560_IEs_sequence[] = {
{ &hf_nr_rrc_needForGaps , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_needForGaps },
{ &hf_nr_rrc_drx_ConfigSCG, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_DRX_Config },
{ &hf_nr_rrc_reportCGI_RequestEUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_reportCGI_RequestEUTRA },
- { &hf_nr_rrc_nonCriticalExtension_04, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_02 },
+ { &hf_nr_rrc_nonCriticalExtension_04, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_CG_Config_v1590_IEs },
{ NULL, 0, 0, NULL }
};
@@ -8777,10 +8879,25 @@ dissect_nr_rrc_T_nonCriticalExtension_03(tvbuff_t *tvb _U_, int offset _U_, asn1
}
+static const per_sequence_t CG_ConfigInfo_v1590_IEs_sequence[] = {
+ { &hf_nr_rrc_servFrequenciesMN_NR, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR },
+ { &hf_nr_rrc_nonCriticalExtension_10, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_03 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_CG_ConfigInfo_v1590_IEs(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_CG_ConfigInfo_v1590_IEs, CG_ConfigInfo_v1590_IEs_sequence);
+
+ return offset;
+}
+
+
static const per_sequence_t CG_ConfigInfo_v1570_IEs_sequence[] = {
{ &hf_nr_rrc_sftdFrequencyList_NR, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SFTD_FrequencyList_NR },
{ &hf_nr_rrc_sftdFrequencyList_EUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SFTD_FrequencyList_EUTRA },
- { &hf_nr_rrc_nonCriticalExtension_08, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_03 },
+ { &hf_nr_rrc_nonCriticalExtension_09, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_CG_ConfigInfo_v1590_IEs },
{ NULL, 0, 0, NULL }
};
@@ -8802,7 +8919,7 @@ static const per_sequence_t CG_ConfigInfo_v1560_IEs_sequence[] = {
{ &hf_nr_rrc_measResultReportCGI_EUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_measResultReportCGI_EUTRA },
{ &hf_nr_rrc_measResultCellListSFTD_EUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_MeasResultCellListSFTD_EUTRA },
{ &hf_nr_rrc_fr_InfoListMCG, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_FR_InfoList },
- { &hf_nr_rrc_nonCriticalExtension_07, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_CG_ConfigInfo_v1570_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_08, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_CG_ConfigInfo_v1570_IEs },
{ NULL, 0, 0, NULL }
};
@@ -8818,7 +8935,7 @@ dissect_nr_rrc_CG_ConfigInfo_v1560_IEs(tvbuff_t *tvb _U_, int offset _U_, asn1_c
static const per_sequence_t CG_ConfigInfo_v1540_IEs_sequence[] = {
{ &hf_nr_rrc_ph_InfoMCG , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_PH_TypeListMCG },
{ &hf_nr_rrc_measResultReportCGI, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_measResultReportCGI },
- { &hf_nr_rrc_nonCriticalExtension_06, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_CG_ConfigInfo_v1560_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_07, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_CG_ConfigInfo_v1560_IEs },
{ NULL, 0, 0, NULL }
};
@@ -8844,7 +8961,7 @@ static const per_sequence_t CG_ConfigInfo_IEs_sequence[] = {
{ &hf_nr_rrc_scg_RB_Config_01, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_scg_RB_Config_01 },
{ &hf_nr_rrc_mcg_RB_Config, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_mcg_RB_Config },
{ &hf_nr_rrc_mrdc_AssistanceInfo, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_MRDC_AssistanceInfo },
- { &hf_nr_rrc_nonCriticalExtension_05, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_CG_ConfigInfo_v1540_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_06, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_CG_ConfigInfo_v1540_IEs },
{ NULL, 0, 0, NULL }
};
@@ -9202,7 +9319,7 @@ dissect_nr_rrc_T_nonCriticalExtension_04(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t MeasurementTimingConfiguration_v1550_IEs_sequence[] = {
{ &hf_nr_rrc_campOnFirstSSB, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_BOOLEAN },
{ &hf_nr_rrc_psCellOnlyOnFirstSSB, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_BOOLEAN },
- { &hf_nr_rrc_nonCriticalExtension_10, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_04 },
+ { &hf_nr_rrc_nonCriticalExtension_12, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_04 },
{ NULL, 0, 0, NULL }
};
@@ -9217,7 +9334,7 @@ dissect_nr_rrc_MeasurementTimingConfiguration_v1550_IEs(tvbuff_t *tvb _U_, int o
static const per_sequence_t MeasurementTimingConfiguration_IEs_sequence[] = {
{ &hf_nr_rrc_measTiming , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_MeasTimingList },
- { &hf_nr_rrc_nonCriticalExtension_09, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_MeasurementTimingConfiguration_v1550_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_11, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_MeasurementTimingConfiguration_v1550_IEs },
{ NULL, 0, 0, NULL }
};
@@ -9336,7 +9453,7 @@ dissect_nr_rrc_T_nonCriticalExtension_05(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t UERadioPagingInformation_IEs_sequence[] = {
{ &hf_nr_rrc_supportedBandListNRForPaging, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SEQUENCE_SIZE_1_maxBands_OF_FreqBandIndicatorNR },
- { &hf_nr_rrc_nonCriticalExtension_11, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_05 },
+ { &hf_nr_rrc_nonCriticalExtension_13, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_05 },
{ NULL, 0, 0, NULL }
};
@@ -9459,7 +9576,7 @@ dissect_nr_rrc_T_nonCriticalExtension_06(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t UERadioAccessCapabilityInformation_IEs_sequence[] = {
{ &hf_nr_rrc_ue_RadioAccessCapabilityInfo, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_ue_RadioAccessCapabilityInfo },
- { &hf_nr_rrc_nonCriticalExtension_12, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_06 },
+ { &hf_nr_rrc_nonCriticalExtension_14, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_06 },
{ NULL, 0, 0, NULL }
};
@@ -10635,7 +10752,7 @@ dissect_nr_rrc_EUTRA_Q_OffsetRange(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t
static const per_sequence_t EUTRA_FreqNeighCellInfo_sequence[] = {
{ &hf_nr_rrc_physCellId_01, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_EUTRA_PhysCellId },
- { &hf_nr_rrc_dummy , ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_EUTRA_Q_OffsetRange },
+ { &hf_nr_rrc_dummy_01 , ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_EUTRA_Q_OffsetRange },
{ &hf_nr_rrc_q_RxLevMinOffsetCell, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_INTEGER_1_8 },
{ &hf_nr_rrc_q_QualMinOffsetCell, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_INTEGER_1_8 },
{ NULL, 0, 0, NULL }
@@ -11404,7 +11521,7 @@ dissect_nr_rrc_T_nonCriticalExtension_31(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t SystemInformation_IEs_sequence[] = {
{ &hf_nr_rrc_sib_TypeAndInfo, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_sib_TypeAndInfo },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_44, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_31 },
+ { &hf_nr_rrc_nonCriticalExtension_48, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_31 },
{ NULL, 0, 0, NULL }
};
@@ -14903,7 +15020,7 @@ static const per_sequence_t SIB1_sequence[] = {
{ &hf_nr_rrc_uac_BarringInfo, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_uac_BarringInfo },
{ &hf_nr_rrc_useFullResumeID, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_useFullResumeID },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_43, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_30 },
+ { &hf_nr_rrc_nonCriticalExtension_47, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_30 },
{ NULL, 0, 0, NULL }
};
@@ -15025,7 +15142,7 @@ dissect_nr_rrc_T_nonCriticalExtension_19(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t RRCReject_IEs_sequence[] = {
{ &hf_nr_rrc_waitTime , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RejectWaitTime },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_30, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_19 },
+ { &hf_nr_rrc_nonCriticalExtension_32, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_19 },
{ NULL, 0, 0, NULL }
};
@@ -16034,7 +16151,7 @@ static const per_sequence_t RRCSetup_IEs_sequence[] = {
{ &hf_nr_rrc_radioBearerConfig, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_RadioBearerConfig },
{ &hf_nr_rrc_masterCellGroup_02, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_masterCellGroup_02 },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_36, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_23 },
+ { &hf_nr_rrc_nonCriticalExtension_38, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_23 },
{ NULL, 0, 0, NULL }
};
@@ -18636,7 +18753,7 @@ static const per_sequence_t RRCReconfiguration_v1560_IEs_sequence[] = {
{ &hf_nr_rrc_mrdc_SecondaryCellGroupConfig, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_mrdc_SecondaryCellGroupConfig },
{ &hf_nr_rrc_radioBearerConfig2, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_radioBearerConfig2 },
{ &hf_nr_rrc_sk_Counter , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SK_Counter },
- { &hf_nr_rrc_nonCriticalExtension_26, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_17 },
+ { &hf_nr_rrc_nonCriticalExtension_28, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_17 },
{ NULL, 0, 0, NULL }
};
@@ -18651,7 +18768,7 @@ dissect_nr_rrc_RRCReconfiguration_v1560_IEs(tvbuff_t *tvb _U_, int offset _U_, a
static const per_sequence_t RRCReconfiguration_v1540_IEs_sequence[] = {
{ &hf_nr_rrc_otherConfig_v1540, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OtherConfig_v1540 },
- { &hf_nr_rrc_nonCriticalExtension_25, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfiguration_v1560_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_27, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfiguration_v1560_IEs },
{ NULL, 0, 0, NULL }
};
@@ -18672,7 +18789,7 @@ static const per_sequence_t RRCReconfiguration_v1530_IEs_sequence[] = {
{ &hf_nr_rrc_dedicatedSIB1_Delivery, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dedicatedSIB1_Delivery },
{ &hf_nr_rrc_dedicatedSystemInformationDelivery, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dedicatedSystemInformationDelivery },
{ &hf_nr_rrc_otherConfig , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OtherConfig },
- { &hf_nr_rrc_nonCriticalExtension_24, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfiguration_v1540_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_26, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfiguration_v1540_IEs },
{ NULL, 0, 0, NULL }
};
@@ -18690,7 +18807,7 @@ static const per_sequence_t RRCReconfiguration_IEs_sequence[] = {
{ &hf_nr_rrc_secondaryCellGroup, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_secondaryCellGroup },
{ &hf_nr_rrc_measConfig , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_MeasConfig },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_23, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfiguration_v1530_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_25, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfiguration_v1530_IEs },
{ NULL, 0, 0, NULL }
};
@@ -18808,7 +18925,7 @@ dissect_nr_rrc_T_nonCriticalExtension_21(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t RRCResume_v1560_IEs_sequence[] = {
{ &hf_nr_rrc_radioBearerConfig2_01, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_radioBearerConfig2_01 },
{ &hf_nr_rrc_sk_Counter , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SK_Counter },
- { &hf_nr_rrc_nonCriticalExtension_34, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_21 },
+ { &hf_nr_rrc_nonCriticalExtension_36, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_21 },
{ NULL, 0, 0, NULL }
};
@@ -18827,7 +18944,7 @@ static const per_sequence_t RRCResume_IEs_sequence[] = {
{ &hf_nr_rrc_measConfig , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_MeasConfig },
{ &hf_nr_rrc_fullConfig_01, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_fullConfig_01 },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_33, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCResume_v1560_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_35, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCResume_v1560_IEs },
{ NULL, 0, 0, NULL }
};
@@ -19184,7 +19301,7 @@ dissect_nr_rrc_T_nonCriticalExtension_20(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t RRCRelease_v1540_IEs_sequence[] = {
{ &hf_nr_rrc_waitTime , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RejectWaitTime },
- { &hf_nr_rrc_nonCriticalExtension_32, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_20 },
+ { &hf_nr_rrc_nonCriticalExtension_34, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_20 },
{ NULL, 0, 0, NULL }
};
@@ -19203,7 +19320,7 @@ static const per_sequence_t RRCRelease_IEs_sequence[] = {
{ &hf_nr_rrc_suspendConfig, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SuspendConfig },
{ &hf_nr_rrc_deprioritisationReq, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_deprioritisationReq },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_31, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCRelease_v1540_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_33, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCRelease_v1540_IEs },
{ NULL, 0, 0, NULL }
};
@@ -19284,7 +19401,7 @@ dissect_nr_rrc_T_nonCriticalExtension_15(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t RRCReestablishment_IEs_sequence[] = {
{ &hf_nr_rrc_nextHopChainingCount, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_NextHopChainingCount },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_21, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_15 },
+ { &hf_nr_rrc_nonCriticalExtension_23, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_15 },
{ NULL, 0, 0, NULL }
};
@@ -19379,7 +19496,7 @@ dissect_nr_rrc_T_nonCriticalExtension_27(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t SecurityModeCommand_IEs_sequence[] = {
{ &hf_nr_rrc_securityConfigSMC, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_SecurityConfigSMC },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_40, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_27 },
+ { &hf_nr_rrc_nonCriticalExtension_44, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_27 },
{ NULL, 0, 0, NULL }
};
@@ -19460,7 +19577,7 @@ dissect_nr_rrc_T_nonCriticalExtension_09(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t DLInformationTransfer_IEs_sequence[] = {
{ &hf_nr_rrc_dedicatedNAS_Message, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_DedicatedNAS_Message },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_15, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_09 },
+ { &hf_nr_rrc_nonCriticalExtension_17, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_09 },
{ NULL, 0, 0, NULL }
};
@@ -19720,7 +19837,7 @@ dissect_nr_rrc_T_nonCriticalExtension_07(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t CounterCheck_IEs_sequence[] = {
{ &hf_nr_rrc_drb_CountMSB_InfoList, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_DRB_CountMSB_InfoList },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_13, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_07 },
+ { &hf_nr_rrc_nonCriticalExtension_15, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_07 },
{ NULL, 0, 0, NULL }
};
@@ -19853,7 +19970,7 @@ static const per_sequence_t MobilityFromNRCommand_IEs_sequence[] = {
{ &hf_nr_rrc_targetRAT_MessageContainer, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_targetRAT_MessageContainer },
{ &hf_nr_rrc_nas_SecurityParamFromNR, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_19, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_13 },
+ { &hf_nr_rrc_nonCriticalExtension_21, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_13 },
{ NULL, 0, 0, NULL }
};
@@ -20119,7 +20236,7 @@ dissect_nr_rrc_T_nonCriticalExtension_14(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t Paging_sequence[] = {
{ &hf_nr_rrc_pagingRecordList, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_PagingRecordList },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_20, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_14 },
+ { &hf_nr_rrc_nonCriticalExtension_22, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_14 },
{ NULL, 0, 0, NULL }
};
@@ -20868,7 +20985,7 @@ dissect_nr_rrc_T_nonCriticalExtension_12(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t MeasurementReport_IEs_sequence[] = {
{ &hf_nr_rrc_measResults , ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_MeasResults },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_18, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_12 },
+ { &hf_nr_rrc_nonCriticalExtension_20, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_12 },
{ NULL, 0, 0, NULL }
};
@@ -21092,7 +21209,7 @@ dissect_nr_rrc_T_nonCriticalExtension_18(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t RRCReconfigurationComplete_v1560_IEs_sequence[] = {
{ &hf_nr_rrc_scg_Response , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_scg_Response },
- { &hf_nr_rrc_nonCriticalExtension_29, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_18 },
+ { &hf_nr_rrc_nonCriticalExtension_31, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_18 },
{ NULL, 0, 0, NULL }
};
@@ -21107,7 +21224,7 @@ dissect_nr_rrc_RRCReconfigurationComplete_v1560_IEs(tvbuff_t *tvb _U_, int offse
static const per_sequence_t RRCReconfigurationComplete_v1530_IEs_sequence[] = {
{ &hf_nr_rrc_uplinkTxDirectCurrentList, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UplinkTxDirectCurrentList },
- { &hf_nr_rrc_nonCriticalExtension_28, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfigurationComplete_v1560_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_30, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfigurationComplete_v1560_IEs },
{ NULL, 0, 0, NULL }
};
@@ -21122,7 +21239,7 @@ dissect_nr_rrc_RRCReconfigurationComplete_v1530_IEs(tvbuff_t *tvb _U_, int offse
static const per_sequence_t RRCReconfigurationComplete_IEs_sequence[] = {
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_27, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfigurationComplete_v1530_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_29, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_RRCReconfigurationComplete_v1530_IEs },
{ NULL, 0, 0, NULL }
};
@@ -21329,7 +21446,7 @@ static const per_sequence_t RRCSetupComplete_IEs_sequence[] = {
{ &hf_nr_rrc_dedicatedNAS_Message, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_DedicatedNAS_Message },
{ &hf_nr_rrc_ng_5G_S_TMSI_Value, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_ng_5G_S_TMSI_Value },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_37, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_24 },
+ { &hf_nr_rrc_nonCriticalExtension_39, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_24 },
{ NULL, 0, 0, NULL }
};
@@ -21409,7 +21526,7 @@ dissect_nr_rrc_T_nonCriticalExtension_16(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t RRCReestablishmentComplete_IEs_sequence[] = {
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_22, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_16 },
+ { &hf_nr_rrc_nonCriticalExtension_24, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_16 },
{ NULL, 0, 0, NULL }
};
@@ -21492,7 +21609,7 @@ static const per_sequence_t RRCResumeComplete_IEs_sequence[] = {
{ &hf_nr_rrc_selectedPLMN_Identity, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_INTEGER_1_maxPLMN },
{ &hf_nr_rrc_uplinkTxDirectCurrentList, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UplinkTxDirectCurrentList },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_35, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_22 },
+ { &hf_nr_rrc_nonCriticalExtension_37, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_22 },
{ NULL, 0, 0, NULL }
};
@@ -21572,7 +21689,7 @@ dissect_nr_rrc_T_nonCriticalExtension_28(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t SecurityModeComplete_IEs_sequence[] = {
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_41, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_28 },
+ { &hf_nr_rrc_nonCriticalExtension_45, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_28 },
{ NULL, 0, 0, NULL }
};
@@ -21652,7 +21769,7 @@ dissect_nr_rrc_T_nonCriticalExtension_29(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t SecurityModeFailure_IEs_sequence[] = {
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_42, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_29 },
+ { &hf_nr_rrc_nonCriticalExtension_46, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_29 },
{ NULL, 0, 0, NULL }
};
@@ -21733,7 +21850,7 @@ dissect_nr_rrc_T_nonCriticalExtension_35(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t ULInformationTransfer_IEs_sequence[] = {
{ &hf_nr_rrc_dedicatedNAS_Message, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_DedicatedNAS_Message },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_49, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_35 },
+ { &hf_nr_rrc_nonCriticalExtension_53, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_35 },
{ NULL, 0, 0, NULL }
};
@@ -21886,7 +22003,7 @@ dissect_nr_rrc_T_nonCriticalExtension_11(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t LocationMeasurementIndication_IEs_sequence[] = {
{ &hf_nr_rrc_measurementIndication, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_measurementIndication },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_17, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_11 },
+ { &hf_nr_rrc_nonCriticalExtension_19, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_11 },
{ NULL, 0, 0, NULL }
};
@@ -21966,7 +22083,7 @@ dissect_nr_rrc_T_nonCriticalExtension_34(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t UECapabilityInformation_IEs_sequence[] = {
{ &hf_nr_rrc_ue_CapabilityRAT_ContainerList, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_CapabilityRAT_ContainerList },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_48, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_34 },
+ { &hf_nr_rrc_nonCriticalExtension_52, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_34 },
{ NULL, 0, 0, NULL }
};
@@ -22087,7 +22204,7 @@ dissect_nr_rrc_T_nonCriticalExtension_08(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t CounterCheckResponse_IEs_sequence[] = {
{ &hf_nr_rrc_drb_CountInfoList, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_DRB_CountInfoList },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_14, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_08 },
+ { &hf_nr_rrc_nonCriticalExtension_16, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_08 },
{ NULL, 0, 0, NULL }
};
@@ -22373,7 +22490,7 @@ dissect_nr_rrc_T_nonCriticalExtension_32(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t UEAssistanceInformation_v1540_IEs_sequence[] = {
{ &hf_nr_rrc_overheatingAssistance, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OverheatingAssistance },
- { &hf_nr_rrc_nonCriticalExtension_46, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_32 },
+ { &hf_nr_rrc_nonCriticalExtension_50, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_32 },
{ NULL, 0, 0, NULL }
};
@@ -22389,7 +22506,7 @@ dissect_nr_rrc_UEAssistanceInformation_v1540_IEs(tvbuff_t *tvb _U_, int offset _
static const per_sequence_t UEAssistanceInformation_IEs_sequence[] = {
{ &hf_nr_rrc_delayBudgetReport, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_DelayBudgetReport },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_45, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UEAssistanceInformation_v1540_IEs },
+ { &hf_nr_rrc_nonCriticalExtension_49, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UEAssistanceInformation_v1540_IEs },
{ NULL, 0, 0, NULL }
};
@@ -22505,7 +22622,7 @@ dissect_nr_rrc_T_nonCriticalExtension_10(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t FailureInformation_IEs_sequence[] = {
{ &hf_nr_rrc_failureInfoRLC_Bearer, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_FailureInfoRLC_Bearer },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_16, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_10 },
+ { &hf_nr_rrc_nonCriticalExtension_18, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_10 },
{ NULL, 0, 0, NULL }
};
@@ -22622,7 +22739,7 @@ static const per_sequence_t ULInformationTransferMRDC_IEs_sequence[] = {
{ &hf_nr_rrc_ul_DCCH_MessageNR, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_ul_DCCH_MessageNR },
{ &hf_nr_rrc_ul_DCCH_MessageEUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_ul_DCCH_MessageEUTRA },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_50, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_36 },
+ { &hf_nr_rrc_nonCriticalExtension_54, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_36 },
{ NULL, 0, 0, NULL }
};
@@ -22787,9 +22904,24 @@ dissect_nr_rrc_T_nonCriticalExtension_25(tvbuff_t *tvb _U_, int offset _U_, asn1
}
+static const per_sequence_t SCGFailureInformation_v1590_IEs_sequence[] = {
+ { &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
+ { &hf_nr_rrc_nonCriticalExtension_41, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_25 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_SCGFailureInformation_v1590_IEs(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_SCGFailureInformation_v1590_IEs, SCGFailureInformation_v1590_IEs_sequence);
+
+ return offset;
+}
+
+
static const per_sequence_t SCGFailureInformation_IEs_sequence[] = {
{ &hf_nr_rrc_failureReportSCG, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_FailureReportSCG },
- { &hf_nr_rrc_nonCriticalExtension_38, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_25 },
+ { &hf_nr_rrc_nonCriticalExtension_40, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SCGFailureInformation_v1590_IEs },
{ NULL, 0, 0, NULL }
};
@@ -22936,9 +23068,24 @@ dissect_nr_rrc_T_nonCriticalExtension_26(tvbuff_t *tvb _U_, int offset _U_, asn1
}
+static const per_sequence_t SCGFailureInformationEUTRA_v1590_IEs_sequence[] = {
+ { &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
+ { &hf_nr_rrc_nonCriticalExtension_43, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_26 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_SCGFailureInformationEUTRA_v1590_IEs(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_SCGFailureInformationEUTRA_v1590_IEs, SCGFailureInformationEUTRA_v1590_IEs_sequence);
+
+ return offset;
+}
+
+
static const per_sequence_t SCGFailureInformationEUTRA_IEs_sequence[] = {
{ &hf_nr_rrc_failureReportSCG_EUTRA, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_FailureReportSCG_EUTRA },
- { &hf_nr_rrc_nonCriticalExtension_39, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_26 },
+ { &hf_nr_rrc_nonCriticalExtension_42, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SCGFailureInformationEUTRA_v1590_IEs },
{ NULL, 0, 0, NULL }
};
@@ -23201,7 +23348,7 @@ dissect_nr_rrc_T_nonCriticalExtension_33(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t UECapabilityEnquiry_v1560_IEs_sequence[] = {
{ &hf_nr_rrc_capabilityRequestFilterCommon, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_CapabilityRequestFilterCommon },
- { &hf_nr_rrc_nonCriticalExtension_47, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_33 },
+ { &hf_nr_rrc_nonCriticalExtension_51, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_33 },
{ NULL, 0, 0, NULL }
};
@@ -24484,7 +24631,7 @@ static const per_sequence_t RateMatchPattern_sequence[] = {
{ &hf_nr_rrc_rateMatchPatternId, ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_RateMatchPatternId },
{ &hf_nr_rrc_patternType , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_patternType },
{ &hf_nr_rrc_subcarrierSpacing, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_SubcarrierSpacing },
- { &hf_nr_rrc_dummy_03 , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_dummy_01 },
+ { &hf_nr_rrc_dummy_04 , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_dummy_01 },
{ NULL, 0, 0, NULL }
};
@@ -29697,7 +29844,7 @@ static const per_sequence_t PHR_Config_sequence[] = {
{ &hf_nr_rrc_phr_ProhibitTimer, ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_phr_ProhibitTimer },
{ &hf_nr_rrc_phr_Tx_PowerFactorChange, ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_phr_Tx_PowerFactorChange },
{ &hf_nr_rrc_multiplePHR , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_BOOLEAN },
- { &hf_nr_rrc_dummy_02 , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_BOOLEAN },
+ { &hf_nr_rrc_dummy_03 , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_BOOLEAN },
{ &hf_nr_rrc_phr_Type2OtherCell, ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_BOOLEAN },
{ &hf_nr_rrc_phr_ModeOtherCG, ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_phr_ModeOtherCG },
{ NULL, 0, 0, NULL }
@@ -30072,7 +30219,7 @@ dissect_nr_rrc_FrequencyInfoUL(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *ac
static const per_sequence_t UplinkConfigCommon_sequence[] = {
{ &hf_nr_rrc_frequencyInfoUL, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_FrequencyInfoUL },
{ &hf_nr_rrc_initialUplinkBWP_01, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BWP_UplinkCommon },
- { &hf_nr_rrc_dummy_05 , ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_TimeAlignmentTimer },
+ { &hf_nr_rrc_dummy_06 , ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_TimeAlignmentTimer },
{ NULL, 0, 0, NULL }
};
@@ -33707,7 +33854,7 @@ static const per_sequence_t CSI_ReportConfig_sequence[] = {
{ &hf_nr_rrc_timeRestrictionForChannelMeasurements, ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_timeRestrictionForChannelMeasurements },
{ &hf_nr_rrc_timeRestrictionForInterferenceMeasurements, ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_timeRestrictionForInterferenceMeasurements },
{ &hf_nr_rrc_codebookConfig, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_CodebookConfig },
- { &hf_nr_rrc_dummy_01 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy },
+ { &hf_nr_rrc_dummy_02 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy },
{ &hf_nr_rrc_groupBasedBeamReporting, ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_groupBasedBeamReporting },
{ &hf_nr_rrc_cqi_Table , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_cqi_Table },
{ &hf_nr_rrc_subbandSize , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_T_subbandSize },
@@ -34207,7 +34354,7 @@ static const per_sequence_t ServingCellConfig_sequence[] = {
{ &hf_nr_rrc_sCellDeactivationTimer, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_sCellDeactivationTimer },
{ &hf_nr_rrc_crossCarrierSchedulingConfig, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_CrossCarrierSchedulingConfig },
{ &hf_nr_rrc_tag_Id , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_TAG_Id },
- { &hf_nr_rrc_dummy_04 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_02 },
+ { &hf_nr_rrc_dummy_05 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_02 },
{ &hf_nr_rrc_pathlossReferenceLinking, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_pathlossReferenceLinking },
{ &hf_nr_rrc_servingCellMO, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_MeasObjectId },
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_ServingCellConfig_eag_1 },
@@ -34750,7 +34897,7 @@ dissect_nr_rrc_T_supportedNumberTAG(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_
static const per_sequence_t CA_ParametersNR_sequence[] = {
- { &hf_nr_rrc_dummy_06 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_03 },
+ { &hf_nr_rrc_dummy_07 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_03 },
{ &hf_nr_rrc_parallelTxSRS_PUCCH_PUSCH, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_parallelTxSRS_PUCCH_PUSCH },
{ &hf_nr_rrc_parallelTxPRACH_SRS_PUCCH_PUSCH, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_parallelTxPRACH_SRS_PUCCH_PUSCH },
{ &hf_nr_rrc_simultaneousRxTxInterBandCA, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_simultaneousRxTxInterBandCA },
@@ -35398,7 +35545,7 @@ dissect_nr_rrc_T_dummy_04(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U
static const per_sequence_t CA_ParametersNR_v1550_sequence[] = {
- { &hf_nr_rrc_dummy_07 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_04 },
+ { &hf_nr_rrc_dummy_08 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_04 },
{ NULL, 0, 0, NULL }
};
@@ -35655,6 +35802,64 @@ dissect_nr_rrc_BandCombinationList_v1580(tvbuff_t *tvb _U_, int offset _U_, asn1
}
+static const value_string nr_rrc_T_interBandContiguousMRDC_vals[] = {
+ { 0, "supported" },
+ { 0, NULL }
+};
+
+
+static int
+dissect_nr_rrc_T_interBandContiguousMRDC(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_enumerated(tvb, offset, actx, tree, hf_index,
+ 1, NULL, FALSE, 0, NULL);
+
+ return offset;
+}
+
+
+static const per_sequence_t MRDC_Parameters_v1590_sequence[] = {
+ { &hf_nr_rrc_interBandContiguousMRDC, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_interBandContiguousMRDC },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_MRDC_Parameters_v1590(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_MRDC_Parameters_v1590, MRDC_Parameters_v1590_sequence);
+
+ return offset;
+}
+
+
+static const per_sequence_t BandCombination_v1590_sequence[] = {
+ { &hf_nr_rrc_supportedBandwidthCombinationSetIntraENDC, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_1_32 },
+ { &hf_nr_rrc_mrdc_Parameters_v1590, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_MRDC_Parameters_v1590 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_BandCombination_v1590(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_BandCombination_v1590, BandCombination_v1590_sequence);
+
+ return offset;
+}
+
+
+static const per_sequence_t BandCombinationList_v1590_sequence_of[1] = {
+ { &hf_nr_rrc_BandCombinationList_v1590_item, ASN1_NO_EXTENSIONS , ASN1_NOT_OPTIONAL, dissect_nr_rrc_BandCombination_v1590 },
+};
+
+static int
+dissect_nr_rrc_BandCombinationList_v1590(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_constrained_sequence_of(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_BandCombinationList_v1590, BandCombinationList_v1590_sequence_of,
+ 1, maxBandComb, FALSE);
+
+ return offset;
+}
+
+
static const value_string nr_rrc_T_maxNumberTxPortsPerResource_vals[] = {
{ 0, "p2" },
{ 1, "p4" },
@@ -37184,7 +37389,7 @@ dissect_nr_rrc_FeatureSetDownlink_v1540(tvbuff_t *tvb _U_, int offset _U_, asn1_
}
-static const value_string nr_rrc_T_fr1_02_vals[] = {
+static const value_string nr_rrc_T_fr1_04_vals[] = {
{ 0, "mhz5" },
{ 1, "mhz10" },
{ 2, "mhz15" },
@@ -37201,7 +37406,7 @@ static const value_string nr_rrc_T_fr1_02_vals[] = {
static int
-dissect_nr_rrc_T_fr1_02(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+dissect_nr_rrc_T_fr1_04(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
offset = dissect_per_enumerated(tvb, offset, actx, tree, hf_index,
11, NULL, FALSE, 0, NULL);
@@ -37209,7 +37414,7 @@ dissect_nr_rrc_T_fr1_02(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_,
}
-static const value_string nr_rrc_T_fr2_02_vals[] = {
+static const value_string nr_rrc_T_fr2_04_vals[] = {
{ 0, "mhz50" },
{ 1, "mhz100" },
{ 2, "mhz200" },
@@ -37219,7 +37424,7 @@ static const value_string nr_rrc_T_fr2_02_vals[] = {
static int
-dissect_nr_rrc_T_fr2_02(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+dissect_nr_rrc_T_fr2_04(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
offset = dissect_per_enumerated(tvb, offset, actx, tree, hf_index,
4, NULL, FALSE, 0, NULL);
@@ -37234,8 +37439,8 @@ static const value_string nr_rrc_SupportedBandwidth_vals[] = {
};
static const per_choice_t SupportedBandwidth_choice[] = {
- { 0, &hf_nr_rrc_fr1_02 , ASN1_NO_EXTENSIONS , dissect_nr_rrc_T_fr1_02 },
- { 1, &hf_nr_rrc_fr2_02 , ASN1_NO_EXTENSIONS , dissect_nr_rrc_T_fr2_02 },
+ { 0, &hf_nr_rrc_fr1_04 , ASN1_NO_EXTENSIONS , dissect_nr_rrc_T_fr1_04 },
+ { 1, &hf_nr_rrc_fr2_04 , ASN1_NO_EXTENSIONS , dissect_nr_rrc_T_fr2_04 },
{ 0, NULL, 0, NULL }
};
@@ -38470,7 +38675,7 @@ dissect_nr_rrc_MAC_ParametersCommon_eag_1(tvbuff_t *tvb _U_, int offset _U_, asn
static const per_sequence_t MAC_ParametersCommon_sequence[] = {
{ &hf_nr_rrc_lcp_Restriction, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_lcp_Restriction },
- { &hf_nr_rrc_dummy_08 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_05 },
+ { &hf_nr_rrc_dummy_09 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_05 },
{ &hf_nr_rrc_lch_ToSCellRestriction, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_lch_ToSCellRestriction },
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_MAC_ParametersCommon_eag_1 },
{ NULL, 0, 0, NULL }
@@ -40624,7 +40829,7 @@ static const per_sequence_t NRDC_Parameters_sequence[] = {
{ &hf_nr_rrc_fr1_Add_UE_NRDC_Capabilities, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_MRDC_CapabilityAddFRX_Mode },
{ &hf_nr_rrc_fr2_Add_UE_NRDC_Capabilities, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_MRDC_CapabilityAddFRX_Mode },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_dummy_09 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_06 },
+ { &hf_nr_rrc_dummy_10 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_06 },
{ NULL, 0, 0, NULL }
};
@@ -41402,7 +41607,7 @@ dissect_nr_rrc_T_dummy_07(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U
static const per_sequence_t Phy_ParametersCommon_eag_1_sequence[] = {
- { &hf_nr_rrc_dummy_10 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_07 },
+ { &hf_nr_rrc_dummy_11 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_07 },
{ NULL, 0, 0, NULL }
};
@@ -42684,7 +42889,7 @@ dissect_nr_rrc_Phy_ParametersFR2_eag_1(tvbuff_t *tvb _U_, int offset _U_, asn1_c
static const per_sequence_t Phy_ParametersFR2_sequence[] = {
- { &hf_nr_rrc_dummy_11 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_08 },
+ { &hf_nr_rrc_dummy_12 , ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_08 },
{ &hf_nr_rrc_pdsch_RE_MappingFR2_PerSymbol, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_T_pdsch_RE_MappingFR2_PerSymbol },
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_Phy_ParametersFR2_eag_1 },
{ NULL, 0, 0, NULL }
@@ -43174,6 +43379,126 @@ dissect_nr_rrc_BandNR_eag_3(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx
}
+static const per_sequence_t T_fr1_02_sequence[] = {
+ { &hf_nr_rrc_scs_15kHz_09 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_16 },
+ { &hf_nr_rrc_scs_30kHz_09 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_16 },
+ { &hf_nr_rrc_scs_60kHz_13 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_16 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_T_fr1_02(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_T_fr1_02, T_fr1_02_sequence);
+
+ return offset;
+}
+
+
+static const per_sequence_t T_fr2_02_sequence[] = {
+ { &hf_nr_rrc_scs_60kHz_14 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_8 },
+ { &hf_nr_rrc_scs_120kHz_10, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_8 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_T_fr2_02(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_T_fr2_02, T_fr2_02_sequence);
+
+ return offset;
+}
+
+
+static const value_string nr_rrc_T_channelBWs_DL_v1590_vals[] = {
+ { 0, "fr1" },
+ { 1, "fr2" },
+ { 0, NULL }
+};
+
+static const per_choice_t T_channelBWs_DL_v1590_choice[] = {
+ { 0, &hf_nr_rrc_fr1_02 , ASN1_NO_EXTENSIONS , dissect_nr_rrc_T_fr1_02 },
+ { 1, &hf_nr_rrc_fr2_02 , ASN1_NO_EXTENSIONS , dissect_nr_rrc_T_fr2_02 },
+ { 0, NULL, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_T_channelBWs_DL_v1590(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_choice(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_T_channelBWs_DL_v1590, T_channelBWs_DL_v1590_choice,
+ NULL);
+
+ return offset;
+}
+
+
+static const per_sequence_t T_fr1_03_sequence[] = {
+ { &hf_nr_rrc_scs_15kHz_09 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_16 },
+ { &hf_nr_rrc_scs_30kHz_09 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_16 },
+ { &hf_nr_rrc_scs_60kHz_13 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_16 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_T_fr1_03(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_T_fr1_03, T_fr1_03_sequence);
+
+ return offset;
+}
+
+
+static const per_sequence_t T_fr2_03_sequence[] = {
+ { &hf_nr_rrc_scs_60kHz_14 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_8 },
+ { &hf_nr_rrc_scs_120kHz_10, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_8 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_T_fr2_03(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_T_fr2_03, T_fr2_03_sequence);
+
+ return offset;
+}
+
+
+static const value_string nr_rrc_T_channelBWs_UL_v1590_vals[] = {
+ { 0, "fr1" },
+ { 1, "fr2" },
+ { 0, NULL }
+};
+
+static const per_choice_t T_channelBWs_UL_v1590_choice[] = {
+ { 0, &hf_nr_rrc_fr1_03 , ASN1_NO_EXTENSIONS , dissect_nr_rrc_T_fr1_03 },
+ { 1, &hf_nr_rrc_fr2_03 , ASN1_NO_EXTENSIONS , dissect_nr_rrc_T_fr2_03 },
+ { 0, NULL, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_T_channelBWs_UL_v1590(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_choice(tvb, offset, actx, tree, hf_index,
+ ett_nr_rrc_T_channelBWs_UL_v1590, T_channelBWs_UL_v1590_choice,
+ NULL);
+
+ return offset;
+}
+
+
+static const per_sequence_t BandNR_eag_4_sequence[] = {
+ { &hf_nr_rrc_channelBWs_DL_v1590, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_channelBWs_DL_v1590 },
+ { &hf_nr_rrc_channelBWs_UL_v1590, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_channelBWs_UL_v1590 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_BandNR_eag_4(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence_eag(tvb, offset, actx, tree, BandNR_eag_4_sequence);
+
+ return offset;
+}
+
+
static const per_sequence_t BandNR_sequence[] = {
{ &hf_nr_rrc_bandNR , ASN1_EXTENSION_ROOT , ASN1_NOT_OPTIONAL, dissect_nr_rrc_FreqBandIndicatorNR },
{ &hf_nr_rrc_modifiedMPR_Behaviour, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_BIT_STRING_SIZE_8 },
@@ -43193,6 +43518,7 @@ static const per_sequence_t BandNR_sequence[] = {
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_BandNR_eag_1 },
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_BandNR_eag_2 },
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_BandNR_eag_3 },
+ { &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_BandNR_eag_4 },
{ NULL, 0, 0, NULL }
};
@@ -43375,6 +43701,19 @@ dissect_nr_rrc_RF_ParametersMRDC_eag_5(tvbuff_t *tvb _U_, int offset _U_, asn1_c
}
+static const per_sequence_t RF_ParametersMRDC_eag_6_sequence[] = {
+ { &hf_nr_rrc_supportedBandCombinationList_v1590, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_BandCombinationList_v1590 },
+ { NULL, 0, 0, NULL }
+};
+
+static int
+dissect_nr_rrc_RF_ParametersMRDC_eag_6(tvbuff_t *tvb _U_, int offset _U_, asn1_ctx_t *actx _U_, proto_tree *tree _U_, int hf_index _U_) {
+ offset = dissect_per_sequence_eag(tvb, offset, actx, tree, RF_ParametersMRDC_eag_6_sequence);
+
+ return offset;
+}
+
+
static const per_sequence_t RF_ParametersMRDC_sequence[] = {
{ &hf_nr_rrc_supportedBandCombinationList, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_BandCombinationList },
{ &hf_nr_rrc_appliedFreqBandListFilter, ASN1_EXTENSION_ROOT , ASN1_OPTIONAL , dissect_nr_rrc_FreqBandList },
@@ -43383,6 +43722,7 @@ static const per_sequence_t RF_ParametersMRDC_sequence[] = {
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_RF_ParametersMRDC_eag_3 },
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_RF_ParametersMRDC_eag_4 },
{ &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_RF_ParametersMRDC_eag_5 },
+ { &dummy_hf_nr_rrc_eag_field, ASN1_NOT_EXTENSION_ROOT, ASN1_NOT_OPTIONAL, dissect_nr_rrc_RF_ParametersMRDC_eag_6 },
{ NULL, 0, 0, NULL }
};
@@ -43515,7 +43855,7 @@ dissect_nr_rrc_T_nonCriticalExtension_37(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t UE_CapabilityRequestFilterNR_v1540_sequence[] = {
{ &hf_nr_rrc_srs_SwitchingTimeRequest, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_srs_SwitchingTimeRequest },
- { &hf_nr_rrc_nonCriticalExtension_52, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_37 },
+ { &hf_nr_rrc_nonCriticalExtension_56, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_37 },
{ NULL, 0, 0, NULL }
};
@@ -43530,7 +43870,7 @@ dissect_nr_rrc_UE_CapabilityRequestFilterNR_v1540(tvbuff_t *tvb _U_, int offset
static const per_sequence_t UE_CapabilityRequestFilterNR_sequence[] = {
{ &hf_nr_rrc_frequencyBandListFilter, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_FreqBandList },
- { &hf_nr_rrc_nonCriticalExtension_51, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_CapabilityRequestFilterNR_v1540 },
+ { &hf_nr_rrc_nonCriticalExtension_55, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_CapabilityRequestFilterNR_v1540 },
{ NULL, 0, 0, NULL }
};
@@ -43599,7 +43939,7 @@ static const per_sequence_t UE_MRDC_Capability_v1560_sequence[] = {
{ &hf_nr_rrc_measAndMobParametersMRDC_v1560, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_MeasAndMobParametersMRDC_v1560 },
{ &hf_nr_rrc_fdd_Add_UE_MRDC_Capabilities_v1560, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_MRDC_CapabilityAddXDD_Mode_v1560 },
{ &hf_nr_rrc_tdd_Add_UE_MRDC_Capabilities_v1560, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_MRDC_CapabilityAddXDD_Mode_v1560 },
- { &hf_nr_rrc_nonCriticalExtension_54, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_38 },
+ { &hf_nr_rrc_nonCriticalExtension_58, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_38 },
{ NULL, 0, 0, NULL }
};
@@ -43624,7 +43964,7 @@ static const per_sequence_t UE_MRDC_Capability_sequence[] = {
{ &hf_nr_rrc_featureSetCombinations, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SEQUENCE_SIZE_1_maxFeatureSetCombinations_OF_FeatureSetCombination },
{ &hf_nr_rrc_pdcp_ParametersMRDC_v1530, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_PDCP_ParametersMRDC },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_53, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_MRDC_Capability_v1560 },
+ { &hf_nr_rrc_nonCriticalExtension_57, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_MRDC_Capability_v1560 },
{ NULL, 0, 0, NULL }
};
@@ -43796,7 +44136,7 @@ dissect_nr_rrc_T_nonCriticalExtension_39(tvbuff_t *tvb _U_, int offset _U_, asn1
static const per_sequence_t UE_NR_Capability_v1570_sequence[] = {
{ &hf_nr_rrc_nrdc_Parameters_v1570, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_NRDC_Parameters_v1570 },
- { &hf_nr_rrc_nonCriticalExtension_60, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_39 },
+ { &hf_nr_rrc_nonCriticalExtension_64, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_nonCriticalExtension_39 },
{ NULL, 0, 0, NULL }
};
@@ -43812,7 +44152,7 @@ dissect_nr_rrc_UE_NR_Capability_v1570(tvbuff_t *tvb _U_, int offset _U_, asn1_ct
static const per_sequence_t UE_NR_Capability_v1560_sequence[] = {
{ &hf_nr_rrc_nrdc_Parameters, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_NRDC_Parameters },
{ &hf_nr_rrc_receivedFilters_01, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_receivedFilters_01 },
- { &hf_nr_rrc_nonCriticalExtension_59, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1570 },
+ { &hf_nr_rrc_nonCriticalExtension_63, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1570 },
{ NULL, 0, 0, NULL }
};
@@ -43827,7 +44167,7 @@ dissect_nr_rrc_UE_NR_Capability_v1560(tvbuff_t *tvb _U_, int offset _U_, asn1_ct
static const per_sequence_t UE_NR_Capability_v1550_sequence[] = {
{ &hf_nr_rrc_reducedCP_Latency, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_reducedCP_Latency },
- { &hf_nr_rrc_nonCriticalExtension_58, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1560 },
+ { &hf_nr_rrc_nonCriticalExtension_62, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1560 },
{ NULL, 0, 0, NULL }
};
@@ -43847,7 +44187,7 @@ static const per_sequence_t UE_NR_Capability_v1540_sequence[] = {
{ &hf_nr_rrc_fr1_Add_UE_NR_Capabilities_v1540, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_CapabilityAddFRX_Mode_v1540 },
{ &hf_nr_rrc_fr2_Add_UE_NR_Capabilities_v1540, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_CapabilityAddFRX_Mode_v1540 },
{ &hf_nr_rrc_fr1_fr2_Add_UE_NR_Capabilities, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_CapabilityAddFRX_Mode },
- { &hf_nr_rrc_nonCriticalExtension_57, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1550 },
+ { &hf_nr_rrc_nonCriticalExtension_61, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1550 },
{ NULL, 0, 0, NULL }
};
@@ -43863,11 +44203,11 @@ dissect_nr_rrc_UE_NR_Capability_v1540(tvbuff_t *tvb _U_, int offset _U_, asn1_ct
static const per_sequence_t UE_NR_Capability_v1530_sequence[] = {
{ &hf_nr_rrc_fdd_Add_UE_NR_Capabilities_v1530, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_CapabilityAddXDD_Mode_v1530 },
{ &hf_nr_rrc_tdd_Add_UE_NR_Capabilities_v1530, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_CapabilityAddXDD_Mode_v1530 },
- { &hf_nr_rrc_dummy_12 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_09 },
+ { &hf_nr_rrc_dummy_13 , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_dummy_09 },
{ &hf_nr_rrc_interRAT_Parameters, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_InterRAT_Parameters },
{ &hf_nr_rrc_inactiveState, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_inactiveState },
{ &hf_nr_rrc_delayBudgetReporting, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_T_delayBudgetReporting },
- { &hf_nr_rrc_nonCriticalExtension_56, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1540 },
+ { &hf_nr_rrc_nonCriticalExtension_60, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1540 },
{ NULL, 0, 0, NULL }
};
@@ -43895,7 +44235,7 @@ static const per_sequence_t UE_NR_Capability_sequence[] = {
{ &hf_nr_rrc_featureSets , ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_FeatureSets },
{ &hf_nr_rrc_featureSetCombinations, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_SEQUENCE_SIZE_1_maxFeatureSetCombinations_OF_FeatureSetCombination },
{ &hf_nr_rrc_lateNonCriticalExtension, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_OCTET_STRING },
- { &hf_nr_rrc_nonCriticalExtension_55, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1530 },
+ { &hf_nr_rrc_nonCriticalExtension_59, ASN1_NO_EXTENSIONS , ASN1_OPTIONAL , dissect_nr_rrc_UE_NR_Capability_v1530 },
{ NULL, 0, 0, NULL }
};
@@ -44930,6 +45270,26 @@ proto_register_nr_rrc(void) {
{ &hf_nr_rrc_nonCriticalExtension_04,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
+ "CG_Config_v1590_IEs", HFILL }},
+ { &hf_nr_rrc_scellFrequenciesSN_NR,
+ { "scellFrequenciesSN-NR", "nr-rrc.scellFrequenciesSN_NR",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ "SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR", HFILL }},
+ { &hf_nr_rrc_scellFrequenciesSN_NR_item,
+ { "ARFCN-ValueNR", "nr-rrc.ARFCN_ValueNR",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ NULL, HFILL }},
+ { &hf_nr_rrc_scellFrequenciesSN_EUTRA,
+ { "scellFrequenciesSN-EUTRA", "nr-rrc.scellFrequenciesSN_EUTRA",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ "SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA", HFILL }},
+ { &hf_nr_rrc_scellFrequenciesSN_EUTRA_item,
+ { "ARFCN-ValueEUTRA", "nr-rrc.ARFCN_ValueEUTRA",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ NULL, HFILL }},
+ { &hf_nr_rrc_nonCriticalExtension_05,
+ { "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
+ FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_02", HFILL }},
{ &hf_nr_rrc_PH_TypeListSCG_item,
{ "PH-InfoSCG", "nr-rrc.PH_InfoSCG_element",
@@ -45071,7 +45431,7 @@ proto_register_nr_rrc(void) {
{ "mrdc-AssistanceInfo", "nr-rrc.mrdc_AssistanceInfo_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_05,
+ { &hf_nr_rrc_nonCriticalExtension_06,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"CG_ConfigInfo_v1540_IEs", HFILL }},
@@ -45087,7 +45447,7 @@ proto_register_nr_rrc(void) {
{ "cgi-Info", "nr-rrc.cgi_Info_element",
FT_NONE, BASE_NONE, NULL, 0,
"CGI_InfoNR", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_06,
+ { &hf_nr_rrc_nonCriticalExtension_07,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"CG_ConfigInfo_v1560_IEs", HFILL }},
@@ -45135,7 +45495,7 @@ proto_register_nr_rrc(void) {
{ "fr-InfoListMCG", "nr-rrc.fr_InfoListMCG",
FT_UINT32, BASE_DEC, NULL, 0,
"FR_InfoList", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_07,
+ { &hf_nr_rrc_nonCriticalExtension_08,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"CG_ConfigInfo_v1570_IEs", HFILL }},
@@ -45147,7 +45507,19 @@ proto_register_nr_rrc(void) {
{ "sftdFrequencyList-EUTRA", "nr-rrc.sftdFrequencyList_EUTRA",
FT_UINT32, BASE_DEC, NULL, 0,
"SFTD_FrequencyList_EUTRA", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_08,
+ { &hf_nr_rrc_nonCriticalExtension_09,
+ { "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ "CG_ConfigInfo_v1590_IEs", HFILL }},
+ { &hf_nr_rrc_servFrequenciesMN_NR,
+ { "servFrequenciesMN-NR", "nr-rrc.servFrequenciesMN_NR",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ "SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR", HFILL }},
+ { &hf_nr_rrc_servFrequenciesMN_NR_item,
+ { "ARFCN-ValueNR", "nr-rrc.ARFCN_ValueNR",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ NULL, HFILL }},
+ { &hf_nr_rrc_nonCriticalExtension_10,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_03", HFILL }},
@@ -45195,8 +45567,8 @@ proto_register_nr_rrc(void) {
{ "maxMeasFreqsSCG", "nr-rrc.maxMeasFreqsSCG",
FT_UINT32, BASE_DEC, NULL, 0,
"INTEGER_1_maxMeasFreqsMN", HFILL }},
- { &hf_nr_rrc_maxMeasIdentitiesSCG_NR,
- { "maxMeasIdentitiesSCG-NR", "nr-rrc.maxMeasIdentitiesSCG_NR",
+ { &hf_nr_rrc_dummy,
+ { "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, NULL, 0,
"INTEGER_1_maxMeasIdentitiesMN", HFILL }},
{ &hf_nr_rrc_selectedBandEntriesMNList,
@@ -45215,6 +45587,14 @@ proto_register_nr_rrc(void) {
{ "maxNumberROHC-ContextSessionsSN", "nr-rrc.maxNumberROHC_ContextSessionsSN",
FT_UINT32, BASE_DEC, NULL, 0,
"INTEGER_0_16384", HFILL }},
+ { &hf_nr_rrc_maxIntraFreqMeasIdentitiesSCG,
+ { "maxIntraFreqMeasIdentitiesSCG", "nr-rrc.maxIntraFreqMeasIdentitiesSCG",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ "INTEGER_1_maxMeasIdentitiesMN", HFILL }},
+ { &hf_nr_rrc_maxInterFreqMeasIdentitiesSCG,
+ { "maxInterFreqMeasIdentitiesSCG", "nr-rrc.maxInterFreqMeasIdentitiesSCG",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ "INTEGER_1_maxMeasIdentitiesMN", HFILL }},
{ &hf_nr_rrc_SelectedBandEntriesMN_item,
{ "BandEntryIndex", "nr-rrc.BandEntryIndex",
FT_UINT32, BASE_DEC, NULL, 0,
@@ -45451,7 +45831,7 @@ proto_register_nr_rrc(void) {
{ "measTiming", "nr-rrc.measTiming",
FT_UINT32, BASE_DEC, NULL, 0,
"MeasTimingList", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_09,
+ { &hf_nr_rrc_nonCriticalExtension_11,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"MeasurementTimingConfiguration_v1550_IEs", HFILL }},
@@ -45463,7 +45843,7 @@ proto_register_nr_rrc(void) {
{ "psCellOnlyOnFirstSSB", "nr-rrc.psCellOnlyOnFirstSSB",
FT_BOOLEAN, BASE_NONE, NULL, 0,
"BOOLEAN", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_10,
+ { &hf_nr_rrc_nonCriticalExtension_12,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_04", HFILL }},
@@ -45539,7 +45919,7 @@ proto_register_nr_rrc(void) {
{ "FreqBandIndicatorNR", "nr-rrc.FreqBandIndicatorNR",
FT_UINT32, BASE_DEC, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_11,
+ { &hf_nr_rrc_nonCriticalExtension_13,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_05", HFILL }},
@@ -45563,7 +45943,7 @@ proto_register_nr_rrc(void) {
{ "ue-RadioAccessCapabilityInfo", "nr-rrc.ue_RadioAccessCapabilityInfo",
FT_BYTES, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_12,
+ { &hf_nr_rrc_nonCriticalExtension_14,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_06", HFILL }},
@@ -45827,7 +46207,7 @@ proto_register_nr_rrc(void) {
{ "lateNonCriticalExtension", "nr-rrc.lateNonCriticalExtension",
FT_BYTES, BASE_NONE, NULL, 0,
"OCTET_STRING", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_13,
+ { &hf_nr_rrc_nonCriticalExtension_15,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_07", HFILL }},
@@ -45863,7 +46243,7 @@ proto_register_nr_rrc(void) {
{ "drb-CountInfoList", "nr-rrc.drb_CountInfoList",
FT_UINT32, BASE_DEC, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_14,
+ { &hf_nr_rrc_nonCriticalExtension_16,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_08", HFILL }},
@@ -45895,7 +46275,7 @@ proto_register_nr_rrc(void) {
{ "dedicatedNAS-Message", "nr-rrc.dedicatedNAS_Message",
FT_BYTES, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_15,
+ { &hf_nr_rrc_nonCriticalExtension_17,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_09", HFILL }},
@@ -45915,7 +46295,7 @@ proto_register_nr_rrc(void) {
{ "failureInfoRLC-Bearer", "nr-rrc.failureInfoRLC_Bearer_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_16,
+ { &hf_nr_rrc_nonCriticalExtension_18,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_10", HFILL }},
@@ -45951,7 +46331,7 @@ proto_register_nr_rrc(void) {
{ "setup", "nr-rrc.setup",
FT_UINT32, BASE_DEC, VALS(nr_rrc_LocationMeasurementInfo_vals), 0,
"LocationMeasurementInfo", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_17,
+ { &hf_nr_rrc_nonCriticalExtension_19,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_11", HFILL }},
@@ -45971,7 +46351,7 @@ proto_register_nr_rrc(void) {
{ "measResults", "nr-rrc.measResults_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_18,
+ { &hf_nr_rrc_nonCriticalExtension_20,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_12", HFILL }},
@@ -46031,7 +46411,7 @@ proto_register_nr_rrc(void) {
{ "nas-SecurityParamFromNR", "nr-rrc.nas_SecurityParamFromNR",
FT_BYTES, BASE_NONE, NULL, 0,
"OCTET_STRING", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_19,
+ { &hf_nr_rrc_nonCriticalExtension_21,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_13", HFILL }},
@@ -46039,7 +46419,7 @@ proto_register_nr_rrc(void) {
{ "pagingRecordList", "nr-rrc.pagingRecordList",
FT_UINT32, BASE_DEC, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_20,
+ { &hf_nr_rrc_nonCriticalExtension_22,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_14", HFILL }},
@@ -46079,7 +46459,7 @@ proto_register_nr_rrc(void) {
{ "nextHopChainingCount", "nr-rrc.nextHopChainingCount",
FT_UINT32, BASE_DEC, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_21,
+ { &hf_nr_rrc_nonCriticalExtension_23,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_15", HFILL }},
@@ -46095,7 +46475,7 @@ proto_register_nr_rrc(void) {
{ "criticalExtensionsFuture", "nr-rrc.criticalExtensionsFuture_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_criticalExtensionsFuture_15", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_22,
+ { &hf_nr_rrc_nonCriticalExtension_24,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_16", HFILL }},
@@ -46139,7 +46519,7 @@ proto_register_nr_rrc(void) {
{ "measConfig", "nr-rrc.measConfig_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_23,
+ { &hf_nr_rrc_nonCriticalExtension_25,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"RRCReconfiguration_v1530_IEs", HFILL }},
@@ -46175,7 +46555,7 @@ proto_register_nr_rrc(void) {
{ "otherConfig", "nr-rrc.otherConfig_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_24,
+ { &hf_nr_rrc_nonCriticalExtension_26,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"RRCReconfiguration_v1540_IEs", HFILL }},
@@ -46183,7 +46563,7 @@ proto_register_nr_rrc(void) {
{ "otherConfig-v1540", "nr-rrc.otherConfig_v1540_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_25,
+ { &hf_nr_rrc_nonCriticalExtension_27,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"RRCReconfiguration_v1560_IEs", HFILL }},
@@ -46203,7 +46583,7 @@ proto_register_nr_rrc(void) {
{ "sk-Counter", "nr-rrc.sk_Counter",
FT_UINT32, BASE_DEC, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_26,
+ { &hf_nr_rrc_nonCriticalExtension_28,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_17", HFILL }},
@@ -46243,7 +46623,7 @@ proto_register_nr_rrc(void) {
{ "criticalExtensionsFuture", "nr-rrc.criticalExtensionsFuture_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_criticalExtensionsFuture_17", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_27,
+ { &hf_nr_rrc_nonCriticalExtension_29,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"RRCReconfigurationComplete_v1530_IEs", HFILL }},
@@ -46251,7 +46631,7 @@ proto_register_nr_rrc(void) {
{ "uplinkTxDirectCurrentList", "nr-rrc.uplinkTxDirectCurrentList",
FT_UINT32, BASE_DEC, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_28,
+ { &hf_nr_rrc_nonCriticalExtension_30,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"RRCReconfigurationComplete_v1560_IEs", HFILL }},
@@ -46267,7 +46647,7 @@ proto_register_nr_rrc(void) {
{ "eutra-SCG-Response", "nr-rrc.eutra_SCG_Response",
FT_BYTES, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_29,
+ { &hf_nr_rrc_nonCriticalExtension_31,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_18", HFILL }},
@@ -46287,7 +46667,7 @@ proto_register_nr_rrc(void) {
{ "waitTime", "nr-rrc.waitTime",
FT_UINT32, BASE_DEC|BASE_UNIT_STRING, &units_seconds, 0,
"RejectWaitTime", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_30,
+ { &hf_nr_rrc_nonCriticalExtension_32,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_19", HFILL }},
@@ -46327,11 +46707,11 @@ proto_register_nr_rrc(void) {
{ "deprioritisationTimer", "nr-rrc.deprioritisationTimer",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_deprioritisationTimer_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_31,
+ { &hf_nr_rrc_nonCriticalExtension_33,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"RRCRelease_v1540_IEs", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_32,
+ { &hf_nr_rrc_nonCriticalExtension_34,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_20", HFILL }},
@@ -46463,7 +46843,7 @@ proto_register_nr_rrc(void) {
{ "fullConfig", "nr-rrc.fullConfig",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_fullConfig_01_vals), 0,
"T_fullConfig_01", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_33,
+ { &hf_nr_rrc_nonCriticalExtension_35,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"RRCResume_v1560_IEs", HFILL }},
@@ -46471,7 +46851,7 @@ proto_register_nr_rrc(void) {
{ "radioBearerConfig2", "nr-rrc.radioBearerConfig2",
FT_BYTES, BASE_NONE, NULL, 0,
"T_radioBearerConfig2_01", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_34,
+ { &hf_nr_rrc_nonCriticalExtension_36,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_21", HFILL }},
@@ -46491,7 +46871,7 @@ proto_register_nr_rrc(void) {
{ "selectedPLMN-Identity", "nr-rrc.selectedPLMN_Identity",
FT_UINT32, BASE_DEC, NULL, 0,
"INTEGER_1_maxPLMN", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_35,
+ { &hf_nr_rrc_nonCriticalExtension_37,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_22", HFILL }},
@@ -46535,7 +46915,7 @@ proto_register_nr_rrc(void) {
{ "masterCellGroup", "nr-rrc.masterCellGroup",
FT_BYTES, BASE_NONE, NULL, 0,
"T_masterCellGroup_02", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_36,
+ { &hf_nr_rrc_nonCriticalExtension_38,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_23", HFILL }},
@@ -46575,7 +46955,7 @@ proto_register_nr_rrc(void) {
{ "ng-5G-S-TMSI-Part2", "nr-rrc.ng_5G_S_TMSI_Part2",
FT_BYTES, BASE_NONE, NULL, 0,
"BIT_STRING_SIZE_9", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_37,
+ { &hf_nr_rrc_nonCriticalExtension_39,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_24", HFILL }},
@@ -46639,7 +47019,11 @@ proto_register_nr_rrc(void) {
{ "failureReportSCG", "nr-rrc.failureReportSCG_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_38,
+ { &hf_nr_rrc_nonCriticalExtension_40,
+ { "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ "SCGFailureInformation_v1590_IEs", HFILL }},
+ { &hf_nr_rrc_nonCriticalExtension_41,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_25", HFILL }},
@@ -46675,7 +47059,11 @@ proto_register_nr_rrc(void) {
{ "failureReportSCG-EUTRA", "nr-rrc.failureReportSCG_EUTRA_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_39,
+ { &hf_nr_rrc_nonCriticalExtension_42,
+ { "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ "SCGFailureInformationEUTRA_v1590_IEs", HFILL }},
+ { &hf_nr_rrc_nonCriticalExtension_43,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_26", HFILL }},
@@ -46711,7 +47099,7 @@ proto_register_nr_rrc(void) {
{ "securityConfigSMC", "nr-rrc.securityConfigSMC_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_40,
+ { &hf_nr_rrc_nonCriticalExtension_44,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_27", HFILL }},
@@ -46731,7 +47119,7 @@ proto_register_nr_rrc(void) {
{ "criticalExtensionsFuture", "nr-rrc.criticalExtensionsFuture_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_criticalExtensionsFuture_28", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_41,
+ { &hf_nr_rrc_nonCriticalExtension_45,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_28", HFILL }},
@@ -46747,7 +47135,7 @@ proto_register_nr_rrc(void) {
{ "criticalExtensionsFuture", "nr-rrc.criticalExtensionsFuture_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_criticalExtensionsFuture_29", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_42,
+ { &hf_nr_rrc_nonCriticalExtension_46,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_29", HFILL }},
@@ -46839,7 +47227,7 @@ proto_register_nr_rrc(void) {
{ "useFullResumeID", "nr-rrc.useFullResumeID",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_useFullResumeID_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_43,
+ { &hf_nr_rrc_nonCriticalExtension_47,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_30", HFILL }},
@@ -46895,7 +47283,7 @@ proto_register_nr_rrc(void) {
{ "sib9", "nr-rrc.sib9_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_44,
+ { &hf_nr_rrc_nonCriticalExtension_48,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_31", HFILL }},
@@ -46915,7 +47303,7 @@ proto_register_nr_rrc(void) {
{ "delayBudgetReport", "nr-rrc.delayBudgetReport",
FT_UINT32, BASE_DEC, VALS(nr_rrc_DelayBudgetReport_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_45,
+ { &hf_nr_rrc_nonCriticalExtension_49,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"UEAssistanceInformation_v1540_IEs", HFILL }},
@@ -46927,7 +47315,7 @@ proto_register_nr_rrc(void) {
{ "overheatingAssistance", "nr-rrc.overheatingAssistance_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_46,
+ { &hf_nr_rrc_nonCriticalExtension_50,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_32", HFILL }},
@@ -47015,7 +47403,7 @@ proto_register_nr_rrc(void) {
{ "capabilityRequestFilterCommon", "nr-rrc.capabilityRequestFilterCommon_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_CapabilityRequestFilterCommon", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_47,
+ { &hf_nr_rrc_nonCriticalExtension_51,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_33", HFILL }},
@@ -47035,7 +47423,7 @@ proto_register_nr_rrc(void) {
{ "ue-CapabilityRAT-ContainerList", "nr-rrc.ue_CapabilityRAT_ContainerList",
FT_UINT32, BASE_DEC, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_48,
+ { &hf_nr_rrc_nonCriticalExtension_52,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_34", HFILL }},
@@ -47051,7 +47439,7 @@ proto_register_nr_rrc(void) {
{ "criticalExtensionsFuture", "nr-rrc.criticalExtensionsFuture_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_criticalExtensionsFuture_34", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_49,
+ { &hf_nr_rrc_nonCriticalExtension_53,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_35", HFILL }},
@@ -47079,7 +47467,7 @@ proto_register_nr_rrc(void) {
{ "ul-DCCH-MessageEUTRA", "nr-rrc.ul_DCCH_MessageEUTRA",
FT_BYTES, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_50,
+ { &hf_nr_rrc_nonCriticalExtension_54,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_36", HFILL }},
@@ -47335,7 +47723,7 @@ proto_register_nr_rrc(void) {
{ "physCellId", "nr-rrc.physCellId",
FT_UINT32, BASE_DEC, NULL, 0,
"EUTRA_PhysCellId", HFILL }},
- { &hf_nr_rrc_dummy,
+ { &hf_nr_rrc_dummy_01,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC|BASE_EXT_STRING, &nr_rrc_EUTRA_Q_OffsetRange_vals_ext, 0,
"EUTRA_Q_OffsetRange", HFILL }},
@@ -48799,7 +49187,7 @@ proto_register_nr_rrc(void) {
{ "codebookConfig", "nr-rrc.codebookConfig_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_dummy_01,
+ { &hf_nr_rrc_dummy_02,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_vals), 0,
NULL, HFILL }},
@@ -50799,7 +51187,7 @@ proto_register_nr_rrc(void) {
{ "multiplePHR", "nr-rrc.multiplePHR",
FT_BOOLEAN, BASE_NONE, NULL, 0,
"BOOLEAN", HFILL }},
- { &hf_nr_rrc_dummy_02,
+ { &hf_nr_rrc_dummy_03,
{ "dummy", "nr-rrc.dummy",
FT_BOOLEAN, BASE_NONE, NULL, 0,
"BOOLEAN", HFILL }},
@@ -51951,7 +52339,7 @@ proto_register_nr_rrc(void) {
{ "controlResourceSet", "nr-rrc.controlResourceSet",
FT_UINT32, BASE_DEC, NULL, 0,
"ControlResourceSetId", HFILL }},
- { &hf_nr_rrc_dummy_03,
+ { &hf_nr_rrc_dummy_04,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_01_vals), 0,
"T_dummy_01", HFILL }},
@@ -52675,7 +53063,7 @@ proto_register_nr_rrc(void) {
{ "tag-Id", "nr-rrc.tag_Id",
FT_UINT32, BASE_DEC, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_dummy_04,
+ { &hf_nr_rrc_dummy_05,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_02_vals), 0,
"T_dummy_02", HFILL }},
@@ -53571,7 +53959,7 @@ proto_register_nr_rrc(void) {
{ "initialUplinkBWP", "nr-rrc.initialUplinkBWP_element",
FT_NONE, BASE_NONE, NULL, 0,
"BWP_UplinkCommon", HFILL }},
- { &hf_nr_rrc_dummy_05,
+ { &hf_nr_rrc_dummy_06,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_TimeAlignmentTimer_vals), 0,
"TimeAlignmentTimer", HFILL }},
@@ -53651,6 +54039,10 @@ proto_register_nr_rrc(void) {
{ "BandCombination-v1580", "nr-rrc.BandCombination_v1580_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
+ { &hf_nr_rrc_BandCombinationList_v1590_item,
+ { "BandCombination-v1590", "nr-rrc.BandCombination_v1590_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ NULL, HFILL }},
{ &hf_nr_rrc_bandList,
{ "bandList", "nr-rrc.bandList",
FT_UINT32, BASE_DEC, NULL, 0,
@@ -53723,6 +54115,14 @@ proto_register_nr_rrc(void) {
{ "mrdc-Parameters-v1580", "nr-rrc.mrdc_Parameters_v1580_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
+ { &hf_nr_rrc_supportedBandwidthCombinationSetIntraENDC,
+ { "supportedBandwidthCombinationSetIntraENDC", "nr-rrc.supportedBandwidthCombinationSetIntraENDC",
+ FT_BYTES, BASE_NONE, NULL, 0,
+ "BIT_STRING_SIZE_1_32", HFILL }},
+ { &hf_nr_rrc_mrdc_Parameters_v1590,
+ { "mrdc-Parameters-v1590", "nr-rrc.mrdc_Parameters_v1590_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ NULL, HFILL }},
{ &hf_nr_rrc_eutra_01,
{ "eutra", "nr-rrc.eutra_element",
FT_NONE, BASE_NONE, NULL, 0,
@@ -53831,7 +54231,7 @@ proto_register_nr_rrc(void) {
{ "dl-1024QAM-TotalWeightedLayers", "nr-rrc.dl_1024QAM_TotalWeightedLayers",
FT_UINT32, BASE_CUSTOM, CF_FUNC(nr_rrc_dl_1024QAM_TotalWeightedLayers_fmt), 0,
"INTEGER_0_10", HFILL }},
- { &hf_nr_rrc_dummy_06,
+ { &hf_nr_rrc_dummy_07,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_03_vals), 0,
"T_dummy_03", HFILL }},
@@ -53887,7 +54287,7 @@ proto_register_nr_rrc(void) {
{ "dualPA-Architecture", "nr-rrc.dualPA_Architecture",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dualPA_Architecture_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_dummy_07,
+ { &hf_nr_rrc_dummy_08,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_04_vals), 0,
"T_dummy_04", HFILL }},
@@ -54627,7 +55027,7 @@ proto_register_nr_rrc(void) {
{ "lcp-Restriction", "nr-rrc.lcp_Restriction",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_lcp_Restriction_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_dummy_08,
+ { &hf_nr_rrc_dummy_09,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_05_vals), 0,
"T_dummy_05", HFILL }},
@@ -55303,6 +55703,10 @@ proto_register_nr_rrc(void) {
{ "dynamicPowerSharingNEDC", "nr-rrc.dynamicPowerSharingNEDC",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dynamicPowerSharingNEDC_vals), 0,
NULL, HFILL }},
+ { &hf_nr_rrc_interBandContiguousMRDC,
+ { "interBandContiguousMRDC", "nr-rrc.interBandContiguousMRDC",
+ FT_UINT32, BASE_DEC, VALS(nr_rrc_T_interBandContiguousMRDC_vals), 0,
+ NULL, HFILL }},
{ &hf_nr_rrc_measAndMobParametersNRDC,
{ "measAndMobParametersNRDC", "nr-rrc.measAndMobParametersNRDC_element",
FT_NONE, BASE_NONE, NULL, 0,
@@ -55327,7 +55731,7 @@ proto_register_nr_rrc(void) {
{ "fr2-Add-UE-NRDC-Capabilities", "nr-rrc.fr2_Add_UE_NRDC_Capabilities_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_MRDC_CapabilityAddFRX_Mode", HFILL }},
- { &hf_nr_rrc_dummy_09,
+ { &hf_nr_rrc_dummy_10,
{ "dummy", "nr-rrc.dummy_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_dummy_06", HFILL }},
@@ -55535,7 +55939,7 @@ proto_register_nr_rrc(void) {
{ "bwp-SwitchingDelay", "nr-rrc.bwp_SwitchingDelay",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_bwp_SwitchingDelay_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_dummy_10,
+ { &hf_nr_rrc_dummy_11,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_07_vals), 0,
"T_dummy_07", HFILL }},
@@ -55819,7 +56223,7 @@ proto_register_nr_rrc(void) {
{ "pdsch-RE-MappingFR1-PerSlot", "nr-rrc.pdsch_RE_MappingFR1_PerSlot",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_pdsch_RE_MappingFR1_PerSlot_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_dummy_11,
+ { &hf_nr_rrc_dummy_12,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_08_vals), 0,
"T_dummy_08", HFILL }},
@@ -56015,6 +56419,50 @@ proto_register_nr_rrc(void) {
{ "maxUplinkDutyCycle-FR2", "nr-rrc.maxUplinkDutyCycle_FR2",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_maxUplinkDutyCycle_FR2_vals), 0,
NULL, HFILL }},
+ { &hf_nr_rrc_channelBWs_DL_v1590,
+ { "channelBWs-DL-v1590", "nr-rrc.channelBWs_DL_v1590",
+ FT_UINT32, BASE_DEC, VALS(nr_rrc_T_channelBWs_DL_v1590_vals), 0,
+ NULL, HFILL }},
+ { &hf_nr_rrc_fr1_02,
+ { "fr1", "nr-rrc.fr1_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ "T_fr1_02", HFILL }},
+ { &hf_nr_rrc_scs_15kHz_09,
+ { "scs-15kHz", "nr-rrc.scs_15kHz",
+ FT_BYTES, BASE_NONE, NULL, 0,
+ "BIT_STRING_SIZE_16", HFILL }},
+ { &hf_nr_rrc_scs_30kHz_09,
+ { "scs-30kHz", "nr-rrc.scs_30kHz",
+ FT_BYTES, BASE_NONE, NULL, 0,
+ "BIT_STRING_SIZE_16", HFILL }},
+ { &hf_nr_rrc_scs_60kHz_13,
+ { "scs-60kHz", "nr-rrc.scs_60kHz",
+ FT_BYTES, BASE_NONE, NULL, 0,
+ "BIT_STRING_SIZE_16", HFILL }},
+ { &hf_nr_rrc_fr2_02,
+ { "fr2", "nr-rrc.fr2_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ "T_fr2_02", HFILL }},
+ { &hf_nr_rrc_scs_60kHz_14,
+ { "scs-60kHz", "nr-rrc.scs_60kHz",
+ FT_BYTES, BASE_NONE, NULL, 0,
+ "BIT_STRING_SIZE_8", HFILL }},
+ { &hf_nr_rrc_scs_120kHz_10,
+ { "scs-120kHz", "nr-rrc.scs_120kHz",
+ FT_BYTES, BASE_NONE, NULL, 0,
+ "BIT_STRING_SIZE_8", HFILL }},
+ { &hf_nr_rrc_channelBWs_UL_v1590,
+ { "channelBWs-UL-v1590", "nr-rrc.channelBWs_UL_v1590",
+ FT_UINT32, BASE_DEC, VALS(nr_rrc_T_channelBWs_UL_v1590_vals), 0,
+ NULL, HFILL }},
+ { &hf_nr_rrc_fr1_03,
+ { "fr1", "nr-rrc.fr1_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ "T_fr1_03", HFILL }},
+ { &hf_nr_rrc_fr2_03,
+ { "fr2", "nr-rrc.fr2_element",
+ FT_NONE, BASE_NONE, NULL, 0,
+ "T_fr2_03", HFILL }},
{ &hf_nr_rrc_srs_SwitchingTimeRequested_01,
{ "srs-SwitchingTimeRequested", "nr-rrc.srs_SwitchingTimeRequested",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_srs_SwitchingTimeRequested_01_vals), 0,
@@ -56031,6 +56479,10 @@ proto_register_nr_rrc(void) {
{ "supportedBandCombinationList-v1580", "nr-rrc.supportedBandCombinationList_v1580",
FT_UINT32, BASE_DEC, NULL, 0,
"BandCombinationList_v1580", HFILL }},
+ { &hf_nr_rrc_supportedBandCombinationList_v1590,
+ { "supportedBandCombinationList-v1590", "nr-rrc.supportedBandCombinationList_v1590",
+ FT_UINT32, BASE_DEC, NULL, 0,
+ "BandCombinationList_v1590", HFILL }},
{ &hf_nr_rrc_am_WithShortSN,
{ "am-WithShortSN", "nr-rrc.am_WithShortSN",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_am_WithShortSN_vals), 0,
@@ -56063,14 +56515,14 @@ proto_register_nr_rrc(void) {
{ "switchingTimeUL", "nr-rrc.switchingTimeUL",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_switchingTimeUL_01_vals), 0,
"T_switchingTimeUL_01", HFILL }},
- { &hf_nr_rrc_fr1_02,
+ { &hf_nr_rrc_fr1_04,
{ "fr1", "nr-rrc.fr1",
- FT_UINT32, BASE_DEC, VALS(nr_rrc_T_fr1_02_vals), 0,
- "T_fr1_02", HFILL }},
- { &hf_nr_rrc_fr2_02,
+ FT_UINT32, BASE_DEC, VALS(nr_rrc_T_fr1_04_vals), 0,
+ "T_fr1_04", HFILL }},
+ { &hf_nr_rrc_fr2_04,
{ "fr2", "nr-rrc.fr2",
- FT_UINT32, BASE_DEC, VALS(nr_rrc_T_fr2_02_vals), 0,
- "T_fr2_02", HFILL }},
+ FT_UINT32, BASE_DEC, VALS(nr_rrc_T_fr2_04_vals), 0,
+ "T_fr2_04", HFILL }},
{ &hf_nr_rrc_UE_CapabilityRAT_ContainerList_item,
{ "UE-CapabilityRAT-Container", "nr-rrc.UE_CapabilityRAT_Container_element",
FT_NONE, BASE_NONE, NULL, 0,
@@ -56111,7 +56563,7 @@ proto_register_nr_rrc(void) {
{ "frequencyBandListFilter", "nr-rrc.frequencyBandListFilter",
FT_UINT32, BASE_DEC, NULL, 0,
"FreqBandList", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_51,
+ { &hf_nr_rrc_nonCriticalExtension_55,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_CapabilityRequestFilterNR_v1540", HFILL }},
@@ -56119,7 +56571,7 @@ proto_register_nr_rrc(void) {
{ "srs-SwitchingTimeRequest", "nr-rrc.srs_SwitchingTimeRequest",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_srs_SwitchingTimeRequest_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_52,
+ { &hf_nr_rrc_nonCriticalExtension_56,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_37", HFILL }},
@@ -56167,7 +56619,7 @@ proto_register_nr_rrc(void) {
{ "pdcp-ParametersMRDC-v1530", "nr-rrc.pdcp_ParametersMRDC_v1530_element",
FT_NONE, BASE_NONE, NULL, 0,
"PDCP_ParametersMRDC", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_53,
+ { &hf_nr_rrc_nonCriticalExtension_57,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_MRDC_Capability_v1560", HFILL }},
@@ -56187,7 +56639,7 @@ proto_register_nr_rrc(void) {
{ "tdd-Add-UE-MRDC-Capabilities-v1560", "nr-rrc.tdd_Add_UE_MRDC_Capabilities_v1560_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_MRDC_CapabilityAddXDD_Mode_v1560", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_54,
+ { &hf_nr_rrc_nonCriticalExtension_58,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_38", HFILL }},
@@ -56259,7 +56711,7 @@ proto_register_nr_rrc(void) {
{ "featureSets", "nr-rrc.featureSets_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_55,
+ { &hf_nr_rrc_nonCriticalExtension_59,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_NR_Capability_v1530", HFILL }},
@@ -56271,7 +56723,7 @@ proto_register_nr_rrc(void) {
{ "tdd-Add-UE-NR-Capabilities-v1530", "nr-rrc.tdd_Add_UE_NR_Capabilities_v1530_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_NR_CapabilityAddXDD_Mode_v1530", HFILL }},
- { &hf_nr_rrc_dummy_12,
+ { &hf_nr_rrc_dummy_13,
{ "dummy", "nr-rrc.dummy",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_dummy_09_vals), 0,
"T_dummy_09", HFILL }},
@@ -56287,7 +56739,7 @@ proto_register_nr_rrc(void) {
{ "delayBudgetReporting", "nr-rrc.delayBudgetReporting",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_delayBudgetReporting_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_56,
+ { &hf_nr_rrc_nonCriticalExtension_60,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_NR_Capability_v1540", HFILL }},
@@ -56315,7 +56767,7 @@ proto_register_nr_rrc(void) {
{ "fr1-fr2-Add-UE-NR-Capabilities", "nr-rrc.fr1_fr2_Add_UE_NR_Capabilities_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_NR_CapabilityAddFRX_Mode", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_57,
+ { &hf_nr_rrc_nonCriticalExtension_61,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_NR_Capability_v1550", HFILL }},
@@ -56323,7 +56775,7 @@ proto_register_nr_rrc(void) {
{ "reducedCP-Latency", "nr-rrc.reducedCP_Latency",
FT_UINT32, BASE_DEC, VALS(nr_rrc_T_reducedCP_Latency_vals), 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_58,
+ { &hf_nr_rrc_nonCriticalExtension_62,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_NR_Capability_v1560", HFILL }},
@@ -56335,7 +56787,7 @@ proto_register_nr_rrc(void) {
{ "receivedFilters", "nr-rrc.receivedFilters",
FT_BYTES, BASE_NONE, NULL, 0,
"T_receivedFilters_01", HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_59,
+ { &hf_nr_rrc_nonCriticalExtension_63,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"UE_NR_Capability_v1570", HFILL }},
@@ -56343,7 +56795,7 @@ proto_register_nr_rrc(void) {
{ "nrdc-Parameters-v1570", "nr-rrc.nrdc_Parameters_v1570_element",
FT_NONE, BASE_NONE, NULL, 0,
NULL, HFILL }},
- { &hf_nr_rrc_nonCriticalExtension_60,
+ { &hf_nr_rrc_nonCriticalExtension_64,
{ "nonCriticalExtension", "nr-rrc.nonCriticalExtension_element",
FT_NONE, BASE_NONE, NULL, 0,
"T_nonCriticalExtension_39", HFILL }},
@@ -56607,6 +57059,9 @@ proto_register_nr_rrc(void) {
&ett_nr_rrc_CG_Config_v1560_IEs,
&ett_nr_rrc_T_reportCGI_RequestEUTRA,
&ett_nr_rrc_T_requestedCellInfoEUTRA,
+ &ett_nr_rrc_CG_Config_v1590_IEs,
+ &ett_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueNR,
+ &ett_nr_rrc_SEQUENCE_SIZE_1_maxNrofServingCells_1_OF_ARFCN_ValueEUTRA,
&ett_nr_rrc_T_nonCriticalExtension_02,
&ett_nr_rrc_PH_TypeListSCG,
&ett_nr_rrc_PH_InfoSCG,
@@ -56632,6 +57087,7 @@ proto_register_nr_rrc(void) {
&ett_nr_rrc_T_scgFailureInfoEUTRA,
&ett_nr_rrc_T_measResultReportCGI_EUTRA,
&ett_nr_rrc_CG_ConfigInfo_v1570_IEs,
+ &ett_nr_rrc_CG_ConfigInfo_v1590_IEs,
&ett_nr_rrc_T_nonCriticalExtension_03,
&ett_nr_rrc_SFTD_FrequencyList_NR,
&ett_nr_rrc_SFTD_FrequencyList_EUTRA,
@@ -56864,6 +57320,7 @@ proto_register_nr_rrc(void) {
&ett_nr_rrc_T_criticalExtensions_25,
&ett_nr_rrc_T_criticalExtensionsFuture_25,
&ett_nr_rrc_SCGFailureInformation_IEs,
+ &ett_nr_rrc_SCGFailureInformation_v1590_IEs,
&ett_nr_rrc_T_nonCriticalExtension_25,
&ett_nr_rrc_FailureReportSCG,
&ett_nr_rrc_MeasResultFreqList,
@@ -56871,6 +57328,7 @@ proto_register_nr_rrc(void) {
&ett_nr_rrc_T_criticalExtensions_26,
&ett_nr_rrc_T_criticalExtensionsFuture_26,
&ett_nr_rrc_SCGFailureInformationEUTRA_IEs,
+ &ett_nr_rrc_SCGFailureInformationEUTRA_v1590_IEs,
&ett_nr_rrc_T_nonCriticalExtension_26,
&ett_nr_rrc_FailureReportSCG_EUTRA,
&ett_nr_rrc_MeasResultFreqListFailMRDC,
@@ -57613,6 +58071,7 @@ proto_register_nr_rrc(void) {
&ett_nr_rrc_BandCombinationList_v1560,
&ett_nr_rrc_BandCombinationList_v1570,
&ett_nr_rrc_BandCombinationList_v1580,
+ &ett_nr_rrc_BandCombinationList_v1590,
&ett_nr_rrc_BandCombination,
&ett_nr_rrc_SEQUENCE_SIZE_1_maxSimultaneousBands_OF_BandParameters,
&ett_nr_rrc_BandCombination_v1540,
@@ -57621,6 +58080,7 @@ proto_register_nr_rrc(void) {
&ett_nr_rrc_BandCombination_v1560,
&ett_nr_rrc_BandCombination_v1570,
&ett_nr_rrc_BandCombination_v1580,
+ &ett_nr_rrc_BandCombination_v1590,
&ett_nr_rrc_BandParameters,
&ett_nr_rrc_T_eutra,
&ett_nr_rrc_T_nr,
@@ -57736,6 +58196,7 @@ proto_register_nr_rrc(void) {
&ett_nr_rrc_DummyI,
&ett_nr_rrc_MRDC_Parameters,
&ett_nr_rrc_MRDC_Parameters_v1580,
+ &ett_nr_rrc_MRDC_Parameters_v1590,
&ett_nr_rrc_NRDC_Parameters,
&ett_nr_rrc_T_dummy_06,
&ett_nr_rrc_NRDC_Parameters_v1570,
@@ -57764,6 +58225,12 @@ proto_register_nr_rrc(void) {
&ett_nr_rrc_T_channelBWs_UL,
&ett_nr_rrc_T_fr1_01,
&ett_nr_rrc_T_fr2_01,
+ &ett_nr_rrc_T_channelBWs_DL_v1590,
+ &ett_nr_rrc_T_fr1_02,
+ &ett_nr_rrc_T_fr2_02,
+ &ett_nr_rrc_T_channelBWs_UL_v1590,
+ &ett_nr_rrc_T_fr1_03,
+ &ett_nr_rrc_T_fr2_03,
&ett_nr_rrc_RF_ParametersMRDC,
&ett_nr_rrc_RLC_Parameters,
&ett_nr_rrc_SDAP_Parameters,