summaryrefslogtreecommitdiffstats
path: root/src/target/firmware/include/uart.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/target/firmware/include/uart.h')
-rw-r--r--src/target/firmware/include/uart.h5
1 files changed, 5 insertions, 0 deletions
diff --git a/src/target/firmware/include/uart.h b/src/target/firmware/include/uart.h
index 81d7a156..870a0968 100644
--- a/src/target/firmware/include/uart.h
+++ b/src/target/firmware/include/uart.h
@@ -13,6 +13,11 @@ enum uart_baudrate {
UART_921600,
};
+enum uart_id {
+ UART_IRDA,
+ UART_MODEM,
+};
+
void uart_init(uint8_t uart, uint8_t interrupts);
void uart_putchar_wait(uint8_t uart, int c);
int uart_putchar_nb(uint8_t uart, int c);