aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--hardware/eagle/e1_xcvr.brd1116
-rw-r--r--hardware/eagle/e1_xcvr.pro22
-rw-r--r--hardware/eagle/e1_xcvr.sch815
-rw-r--r--hardware/eagle/laforge.lbr81
4 files changed, 1101 insertions, 933 deletions
diff --git a/hardware/eagle/e1_xcvr.brd b/hardware/eagle/e1_xcvr.brd
index 17de5b1..913bc66 100644
--- a/hardware/eagle/e1_xcvr.brd
+++ b/hardware/eagle/e1_xcvr.brd
@@ -227,6 +227,27 @@ package type TQ</description>
<wire x1="3.2" y1="-1.55" x2="-3.2" y2="-1.55" width="0.127" layer="51"/>
<wire x1="-3.2" y1="-1.55" x2="-3.2" y2="1.55" width="0.127" layer="51"/>
</package>
+<package name="SOT223-6">
+<description>SOT223-6 ass found in TPS736xx data sheet</description>
+<wire x1="-3.25" y1="1.75" x2="3.25" y2="1.75" width="0.127" layer="21"/>
+<wire x1="-3.25" y1="-1.75" x2="3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="-3.25" y1="1.75" x2="-3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="3.25" y1="1.75" x2="3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="-2.54" y1="-1.8" x2="-2.54" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="-1.8" x2="-1.27" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="0" y1="-1.8" x2="0" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="1.27" y1="-1.8" x2="1.27" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="2.54" y1="-1.8" x2="2.54" y2="-2.5" width="0.4064" layer="21"/>
+<smd name="3" x="0" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="2" x="-1.27" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="1" x="-2.54" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="4" x="1.27" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="5" x="2.54" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="6" x="0" y="3" dx="3.6" dy="2.2" layer="1"/>
+<text x="-3.54" y="0.0508" size="1.27" layer="25">&gt;NAME</text>
+<text x="-3.54" y="-1.3208" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.6002" y1="1.8034" x2="1.6002" y2="3.6576" layer="51"/>
+</package>
</packages>
</library>
<library name="rcl">
@@ -1294,6 +1315,18 @@ for trimmer refence see : &lt;u&gt;www.electrospec-inc.com/cross_references/trim
<rectangle x1="-0.8382" y1="-0.4318" x2="-0.4318" y2="0.4318" layer="51"/>
<rectangle x1="-0.1999" y1="-0.4001" x2="0.1999" y2="0.4001" layer="35"/>
</package>
+<package name="C1206K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 1206 reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 3216</description>
+<wire x1="-1.525" y1="0.75" x2="1.525" y2="0.75" width="0.1016" layer="51"/>
+<wire x1="1.525" y1="-0.75" x2="-1.525" y2="-0.75" width="0.1016" layer="51"/>
+<smd name="1" x="-1.5" y="0" dx="1.5" dy="2" layer="1"/>
+<smd name="2" x="1.5" y="0" dx="1.5" dy="2" layer="1"/>
+<text x="-1.6" y="1.1" size="1.016" layer="25">&gt;NAME</text>
+<text x="-1.6" y="-2.1" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.6" y1="-0.8" x2="-1.1" y2="0.8" layer="51"/>
+<rectangle x1="1.1" y1="-0.8" x2="1.6" y2="0.8" layer="51"/>
+</package>
<package name="C0603">
<description>&lt;b&gt;CAPACITOR&lt;/b&gt;</description>
<wire x1="-1.473" y1="0.983" x2="1.473" y2="0.983" width="0.0508" layer="39"/>
@@ -1434,64 +1467,85 @@ RJ45 Jack connectors&lt;br&gt;
&lt;/ul&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
-<package name="520251-4">
-<description>&lt;b&gt;Modular Jack&lt;/b&gt;&lt;p&gt;with Panel Stops</description>
-<wire x1="7.578" y1="11.044" x2="7.578" y2="-9.538" width="0.2032" layer="21"/>
-<wire x1="-7.578" y1="-9.538" x2="-7.578" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-7.578" y1="11.044" x2="-4.699" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="-4.191" y1="11.044" x2="-3.429" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-2.921" y1="11.049" x2="-2.159" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="-1.651" y1="11.049" x2="-0.889" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="-0.381" y1="11.049" x2="0.381" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="0.889" y1="11.044" x2="1.651" y2="11.0439" width="0.2032" layer="21"/>
-<wire x1="2.159" y1="11.049" x2="2.921" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="3.429" y1="11.049" x2="4.191" y2="11.0491" width="0.2032" layer="21"/>
-<wire x1="4.699" y1="11.0439" x2="7.578" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-3.429" y1="9.779" x2="-2.921" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-2.159" y1="7.239" x2="-1.651" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-0.889" y1="9.779" x2="-0.381" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-3.429" y1="11.049" x2="-3.429" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-3.429" y1="11.044" x2="-3.429" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-2.159" y1="11.049" x2="-2.159" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-2.921" y1="11.049" x2="-2.921" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-0.889" y1="11.049" x2="-0.889" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-1.651" y1="11.049" x2="-1.651" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="0.381" y1="11.049" x2="0.381" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-0.381" y1="11.049" x2="-0.381" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="0.381" y1="7.239" x2="0.889" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="7.5565" y1="-6.9215" x2="-7.5565" y2="-6.9215" width="0.0508" layer="21"/>
-<wire x1="0.889" y1="11.049" x2="0.889" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="0.889" y1="11.044" x2="0.889" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="7.493" y1="-9.652" x2="-7.493" y2="-9.652" width="0.2032" layer="21"/>
-<wire x1="-4.699" y1="7.239" x2="-4.191" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-4.699" y1="11.049" x2="-4.699" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-4.191" y1="11.049" x2="-4.191" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-4.191" y1="11.044" x2="-4.191" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="1.651" y1="9.779" x2="2.159" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="1.651" y1="11.0491" x2="1.651" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="2.159" y1="11.049" x2="2.159" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="3.429" y1="11.044" x2="4.191" y2="11.0439" width="0.2032" layer="21"/>
-<wire x1="2.921" y1="11.049" x2="2.921" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="2.921" y1="7.239" x2="3.429" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="3.429" y1="11.044" x2="3.429" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="4.191" y1="9.779" x2="4.699" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="4.191" y1="11.0491" x2="4.191" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="4.699" y1="11.0491" x2="4.699" y2="11.0439" width="0.2032" layer="21"/>
-<wire x1="4.699" y1="11.0439" x2="4.699" y2="9.779" width="0.2032" layer="21"/>
-<pad name="4" x="-0.635" y="8.89" drill="0.889"/>
-<pad name="3" x="-1.905" y="6.35" drill="0.889"/>
-<pad name="2" x="-3.175" y="8.89" drill="0.889"/>
-<pad name="1" x="-4.445" y="6.35" drill="0.889"/>
-<pad name="5" x="0.635" y="6.35" drill="0.889"/>
-<pad name="6" x="1.905" y="8.89" drill="0.889"/>
-<pad name="7" x="3.175" y="6.35" drill="0.889"/>
-<pad name="8" x="4.445" y="8.89" drill="0.889"/>
-<text x="-8.382" y="-4.191" size="1.778" layer="25" rot="R90">&gt;NAME</text>
-<text x="9.652" y="-4.826" size="1.778" layer="27" rot="R90">&gt;VALUE</text>
-<rectangle x1="7.62" y1="-6.985" x2="8.382" y2="-5.842" layer="21"/>
-<rectangle x1="-8.382" y1="-6.985" x2="-7.62" y2="-5.842" layer="21"/>
-<hole x="-5.715" y="0" drill="3.2512"/>
-<hole x="5.715" y="0" drill="3.2512"/>
+<package name="557560-1">
+<description>&lt;b&gt;Modular Jack&lt;/b&gt;</description>
+<wire x1="-14.4272" y1="9.906" x2="-14.4272" y2="6.35" width="0.254" layer="21"/>
+<wire x1="-14.4272" y1="6.35" x2="-14.4272" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="-14.4272" y1="-10.414" x2="-9.906" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="-9.906" y1="-10.414" x2="-4.064" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="-4.064" y1="-10.414" x2="4.064" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="4.064" y1="-10.414" x2="9.906" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="9.906" y1="-10.414" x2="14.4272" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="14.4272" y1="-10.414" x2="14.4272" y2="6.35" width="0.254" layer="21"/>
+<wire x1="14.4272" y1="6.35" x2="14.4272" y2="9.906" width="0.254" layer="21"/>
+<wire x1="14.4272" y1="9.906" x2="-14.4272" y2="9.906" width="0.254" layer="21"/>
+<wire x1="-12.4968" y1="6.35" x2="-14.4272" y2="6.35" width="0.254" layer="21"/>
+<wire x1="-2.794" y1="6.35" x2="-0.4572" y2="6.35" width="0.254" layer="21"/>
+<wire x1="-0.4572" y1="6.35" x2="0.635" y2="6.35" width="0.254" layer="21"/>
+<wire x1="-7.493" y1="8.763" x2="-7.493" y2="-2.8194" width="0.4064" layer="51"/>
+<wire x1="-6.477" y1="6.223" x2="-6.477" y2="-2.8194" width="0.4064" layer="51"/>
+<wire x1="-5.461" y1="8.763" x2="-5.461" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-4.445" y1="6.223" x2="-4.445" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-8.509" y1="6.223" x2="-8.509" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-9.525" y1="8.763" x2="-9.525" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-1.2192" y1="-10.3632" x2="-1.2192" y2="2.4892" width="0.1524" layer="21"/>
+<wire x1="-1.2192" y1="2.4892" x2="-12.7508" y2="2.4892" width="0.1524" layer="21"/>
+<wire x1="-12.7508" y1="2.4892" x2="-12.7508" y2="-10.3632" width="0.1524" layer="21"/>
+<wire x1="-9.906" y1="-10.414" x2="-9.906" y2="2.3622" width="0.1524" layer="21"/>
+<wire x1="-4.064" y1="2.3368" x2="-4.064" y2="-10.414" width="0.1524" layer="21"/>
+<wire x1="-10.541" y1="6.223" x2="-10.541" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-3.429" y1="8.763" x2="-3.429" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-11.43" y1="6.35" x2="-10.541" y2="6.223" width="0.4064" layer="51"/>
+<wire x1="-10.16" y1="8.8646" x2="-9.525" y2="8.763" width="0.4064" layer="51"/>
+<wire x1="-8.89" y1="6.35" x2="-8.509" y2="6.2738" width="0.4064" layer="51"/>
+<wire x1="-7.62" y1="8.89" x2="-7.493" y2="8.8646" width="0.4064" layer="51"/>
+<wire x1="-6.35" y1="6.35" x2="-6.477" y2="6.2992" width="0.4064" layer="51"/>
+<wire x1="-5.08" y1="8.89" x2="-5.461" y2="8.8138" width="0.4064" layer="51"/>
+<wire x1="-3.81" y1="6.35" x2="-4.445" y2="6.2484" width="0.4064" layer="51"/>
+<wire x1="-2.54" y1="8.89" x2="-3.429" y2="8.763" width="0.4064" layer="51"/>
+<wire x1="1.4732" y1="6.35" x2="-0.4572" y2="6.35" width="0.254" layer="21"/>
+<wire x1="11.176" y1="6.35" x2="14.4272" y2="6.35" width="0.254" layer="21"/>
+<wire x1="6.477" y1="8.763" x2="6.477" y2="-2.8194" width="0.4064" layer="51"/>
+<wire x1="7.493" y1="6.223" x2="7.493" y2="-2.8194" width="0.4064" layer="51"/>
+<wire x1="8.509" y1="8.763" x2="8.509" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="9.525" y1="6.223" x2="9.525" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="5.461" y1="6.223" x2="5.461" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="4.445" y1="8.763" x2="4.445" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="12.7508" y1="-10.3632" x2="12.7508" y2="2.4892" width="0.1524" layer="21"/>
+<wire x1="12.7508" y1="2.4892" x2="1.2192" y2="2.4892" width="0.1524" layer="21"/>
+<wire x1="1.2192" y1="2.4892" x2="1.2192" y2="-10.3632" width="0.1524" layer="21"/>
+<wire x1="4.064" y1="-10.414" x2="4.064" y2="2.3622" width="0.1524" layer="21"/>
+<wire x1="9.906" y1="2.3368" x2="9.906" y2="-10.414" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="6.223" x2="3.429" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="10.541" y1="8.763" x2="10.541" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="2.54" y1="6.35" x2="3.429" y2="6.223" width="0.4064" layer="51"/>
+<wire x1="3.81" y1="8.8646" x2="4.445" y2="8.763" width="0.4064" layer="51"/>
+<wire x1="5.08" y1="6.35" x2="5.461" y2="6.2738" width="0.4064" layer="51"/>
+<wire x1="6.35" y1="8.89" x2="6.477" y2="8.8646" width="0.4064" layer="51"/>
+<wire x1="7.62" y1="6.35" x2="7.493" y2="6.2992" width="0.4064" layer="51"/>
+<wire x1="8.89" y1="8.89" x2="8.509" y2="8.8138" width="0.4064" layer="51"/>
+<wire x1="10.16" y1="6.35" x2="9.525" y2="6.2484" width="0.4064" layer="51"/>
+<wire x1="11.43" y1="8.89" x2="10.541" y2="8.763" width="0.4064" layer="51"/>
+<pad name="1-1" x="-11.43" y="6.35" drill="0.889"/>
+<pad name="1-2" x="-10.16" y="8.89" drill="0.889"/>
+<pad name="1-3" x="-8.89" y="6.35" drill="0.889"/>
+<pad name="1-4" x="-7.62" y="8.89" drill="0.889"/>
+<pad name="1-5" x="-6.35" y="6.35" drill="0.889"/>
+<pad name="1-6" x="-5.08" y="8.89" drill="0.889"/>
+<pad name="1-7" x="-3.81" y="6.35" drill="0.889"/>
+<pad name="1-8" x="-2.54" y="8.89" drill="0.889"/>
+<pad name="2-1" x="2.54" y="6.35" drill="0.889"/>
+<pad name="2-2" x="3.81" y="8.89" drill="0.889"/>
+<pad name="2-3" x="5.08" y="6.35" drill="0.889"/>
+<pad name="2-4" x="6.35" y="8.89" drill="0.889"/>
+<pad name="2-5" x="7.62" y="6.35" drill="0.889"/>
+<pad name="2-6" x="8.89" y="8.89" drill="0.889"/>
+<pad name="2-7" x="10.16" y="6.35" drill="0.889"/>
+<pad name="2-8" x="11.43" y="8.89" drill="0.889"/>
+<text x="-14.732" y="-4.191" size="1.778" layer="25" rot="R90">&gt;NAME</text>
+<text x="16.637" y="-4.826" size="1.778" layer="27" rot="R90">&gt;VALUE</text>
+<hole x="-12.7" y="0" drill="3.2512"/>
+<hole x="12.7" y="0" drill="3.2512"/>
</package>
</packages>
</library>
@@ -1796,8 +1850,8 @@ design rules under a new name.</description>
<element name="C2" library="rcl" package="C0603" value="100nF" x="15.875" y="15.24" rot="R270"/>
<element name="C3" library="rcl" package="C0603" value="100nF" x="27.94" y="26.67" rot="R90"/>
<element name="C4" library="rcl" package="C0603" value="100nF" x="21.59" y="26.67" rot="R90"/>
-<element name="U$2" library="laforge" package="PULSE_BH" value="PULSE_T1094NL" x="42.545" y="39.37" rot="R270"/>
-<element name="R3" library="rcl" package="R0603" value="120" x="40.64" y="30.48" rot="R270"/>
+<element name="U$2" library="laforge" package="PULSE_BH" value="PULSE_T1094NL" x="43.18" y="38.735" rot="MR90"/>
+<element name="R3" library="rcl" package="R0603" value="120" x="41.275" y="29.845" rot="R270"/>
<element name="D1" library="semicon-smd-ipc" package="SOD123" value="MBR0540T1" x="45.72" y="33.02"/>
<element name="D2" library="semicon-smd-ipc" package="SOD123" value="MBR0540T1" x="45.72" y="30.48" rot="R180"/>
<element name="D3" library="semicon-smd-ipc" package="SOD123" value="MBR0540T1" x="39.37" y="25.4"/>
@@ -1806,29 +1860,28 @@ design rules under a new name.</description>
<element name="D6" library="semicon-smd-ipc" package="SOD123" value="MBR0540T1" x="39.37" y="20.32"/>
<element name="D7" library="semicon-smd-ipc" package="SOD123" value="MBR0540T1" x="39.37" y="22.86"/>
<element name="D8" library="semicon-smd-ipc" package="SOD123" value="MBR0540T1" x="45.72" y="25.4"/>
-<element name="R4" library="rcl" package="R0603" value="0" x="36.83" y="30.48" rot="R270"/>
-<element name="R5" library="rcl" package="R0603" value="0" x="38.735" y="30.48" rot="R270"/>
+<element name="R4" library="rcl" package="R0603" value="0" x="36.195" y="29.845" rot="R270"/>
+<element name="R5" library="rcl" package="R0603" value="0" x="38.735" y="29.845" rot="R270"/>
<element name="C5" library="rcl" package="C0603" value="" x="38.735" y="33.02"/>
-<element name="J1" library="con-amp" package="520251-4" value="" x="6.35" y="40.64" rot="R270"/>
-<element name="JP3" library="jumper" package="JP2" value="A/B" x="26.67" y="46.99"/>
-<element name="JP4" library="jumper" package="JP2" value="A/B" x="26.67" y="43.18"/>
-<element name="JP5" library="jumper" package="JP2" value="TE/NT" x="25.4" y="35.56" rot="R90"/>
-<element name="JP6" library="jumper" package="JP2" value="TE/NT" x="21.59" y="35.56" rot="R90"/>
-<element name="JP7" library="jumper" package="JP2" value="TE/NT" x="29.21" y="35.56" rot="R90"/>
-<element name="JP8" library="jumper" package="JP2" value="TE/NT" x="33.02" y="35.56" rot="R90"/>
<element name="L1" library="inductor-neosid" package="SM-1206" value="" x="17.78" y="26.67" rot="R180">
<attribute name="OC_NEWARK" value="unknown" x="17.78" y="26.67" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="MPN" value="" x="17.78" y="26.67" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="MF" value="" x="17.78" y="26.67" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="17.78" y="26.67" size="1.778" layer="27" rot="R180" display="off"/>
</element>
-<element name="U$3" library="laforge" package="HC73" value="FOX_FXO-HC73" x="2.54" y="12.7"/>
+<element name="U$3" library="laforge" package="HC73" value="2.048 Mhz" x="2.54" y="12.7"/>
<element name="C6" library="rcl" package="C0603" value="100nF" x="10.16" y="10.16" rot="R270"/>
-<element name="U$4" library="laforge" package="HC73" value="FOX_FXO-HC73" x="2.54" y="19.05"/>
+<element name="U$4" library="laforge" package="HC73" value="1.544 MHz" x="2.54" y="19.685"/>
<element name="C7" library="rcl" package="C0603" value="100nF" x="10.16" y="13.97" rot="R270"/>
<element name="JP9" library="jumper" package="JP2" value="1544/2048" x="6.35" y="5.08"/>
<element name="JP10" library="jumper" package="JP1" value="TCLK/MCLK" x="12.065" y="19.05"/>
<element name="U$5" library="laforge" package="MNR35" value="MNR" x="33.655" y="19.05" rot="R270"/>
+<element name="R2" library="rcl" package="R0603" value="10k" x="17.78" y="11.43" rot="R180"/>
+<element name="J2" library="con-amp" package="557560-1" value="" x="17.145" y="41.91" rot="R180"/>
+<element name="U$6" library="laforge" package="SOT223-6" value="TPS736XX" x="44.45" y="13.97" rot="R270"/>
+<element name="C8" library="rcl" package="C0603" value="1nF" x="39.37" y="13.335" rot="R90"/>
+<element name="C9" library="rcl" package="C1206K" value="68uF" x="37.465" y="16.51" rot="R270"/>
+<element name="C10" library="rcl" package="C1206K" value="68uF (NC)" x="38.1" y="5.08" rot="R270"/>
</elements>
<signals>
<signal name="GND" class="1">
@@ -1850,72 +1903,121 @@ design rules under a new name.</description>
<contactref element="JP9" pad="2"/>
<contactref element="D5" pad="2"/>
<contactref element="D8" pad="2"/>
-<wire x1="18.288" y1="14.478" x2="15.875" y2="14.478" width="0.508" layer="1"/>
-<wire x1="15.875" y1="14.478" x2="15.875" y2="14.39" width="0.508" layer="1"/>
-<wire x1="18.288" y1="14.478" x2="18.33" y2="14.58" width="0.508" layer="1"/>
-<wire x1="7.62" y1="14.859" x2="10.16" y2="14.859" width="0.508" layer="1"/>
-<wire x1="10.16" y1="14.859" x2="10.16" y2="14.82" width="0.508" layer="1"/>
-<wire x1="7.62" y1="14.859" x2="7.62" y2="14.85" width="0.508" layer="1"/>
-<wire x1="10.287" y1="14.351" x2="11.684" y2="14.351" width="0.508" layer="1"/>
-<wire x1="11.684" y1="14.351" x2="15.748" y2="14.351" width="0.508" layer="1"/>
-<wire x1="10.287" y1="14.605" x2="10.287" y2="14.351" width="0.508" layer="1"/>
-<wire x1="15.748" y1="14.351" x2="15.875" y2="14.39" width="0.508" layer="1"/>
-<wire x1="10.287" y1="14.605" x2="10.16" y2="14.82" width="0.508" layer="1"/>
+<contactref element="D1" pad="2"/>
+<contactref element="D4" pad="2"/>
+<contactref element="U$6" pad="3"/>
+<contactref element="U$6" pad="6"/>
+<contactref element="C8" pad="2"/>
+<contactref element="C9" pad="2"/>
+<contactref element="U$1" pad="22"/>
+<contactref element="JP1" pad="1"/>
+<contactref element="JP2" pad="1"/>
+<contactref element="JP1" pad="3"/>
+<contactref element="C10" pad="2"/>
+<wire x1="38.227" y1="15.367" x2="39.37" y2="14.224" width="0.508" layer="1"/>
+<wire x1="37.465" y1="15.367" x2="38.227" y2="15.367" width="0.508" layer="1"/>
+<wire x1="37.465" y1="15.01" x2="37.465" y2="15.367" width="0.508" layer="1"/>
+<wire x1="39.37" y1="14.224" x2="39.37" y2="14.185" width="0.508" layer="1"/>
+<wire x1="39.497" y1="13.97" x2="41.75" y2="13.97" width="0.508" layer="1"/>
+<wire x1="39.497" y1="13.97" x2="39.37" y2="14.185" width="0.508" layer="1"/>
+<wire x1="47.498" y1="22.86" x2="47.498" y2="20.32" width="0.508" layer="1"/>
+<wire x1="47.498" y1="20.32" x2="47.42" y2="20.32" width="0.508" layer="1"/>
+<wire x1="47.498" y1="22.86" x2="47.42" y2="22.86" width="0.508" layer="1"/>
+<wire x1="47.498" y1="22.987" x2="47.498" y2="25.4" width="0.508" layer="1"/>
+<wire x1="47.498" y1="25.4" x2="47.42" y2="25.4" width="0.508" layer="1"/>
+<wire x1="47.498" y1="22.987" x2="47.42" y2="22.86" width="0.508" layer="1"/>
+<wire x1="49.276" y1="31.242" x2="47.498" y2="33.02" width="0.508" layer="1"/>
+<wire x1="49.276" y1="29.718" x2="49.276" y2="31.242" width="0.508" layer="1"/>
+<wire x1="47.625" y1="28.067" x2="49.276" y2="29.718" width="0.508" layer="1"/>
+<wire x1="47.625" y1="25.527" x2="47.625" y2="28.067" width="0.508" layer="1"/>
+<wire x1="47.498" y1="33.02" x2="47.42" y2="33.02" width="0.508" layer="1"/>
+<wire x1="47.625" y1="25.527" x2="47.42" y2="25.4" width="0.508" layer="1"/>
+<wire x1="47.498" y1="20.193" x2="47.498" y2="13.97" width="0.508" layer="1"/>
+<wire x1="47.498" y1="13.97" x2="47.45" y2="13.97" width="0.508" layer="1"/>
+<wire x1="47.498" y1="20.193" x2="47.42" y2="20.32" width="0.508" layer="1"/>
+<wire x1="47.45" y1="13.97" x2="41.75" y2="13.97" width="0.508" layer="1"/>
+<wire x1="36.576" y1="3.556" x2="38.1" y2="3.556" width="0.508" layer="1"/>
+<wire x1="34.798" y1="1.778" x2="36.576" y2="3.556" width="0.508" layer="16"/>
+<wire x1="27.432" y1="1.778" x2="34.798" y2="1.778" width="0.508" layer="16"/>
+<wire x1="25.4" y1="3.81" x2="27.432" y2="1.778" width="0.508" layer="16"/>
+<wire x1="38.1" y1="3.556" x2="38.1" y2="3.58" width="0.508" layer="1"/>
<wire x1="24.892" y1="23.622" x2="24.13" y2="23.622" width="0.508" layer="1"/>
<wire x1="24.13" y1="23.622" x2="24.13" y2="23.58" width="0.508" layer="1"/>
<wire x1="24.892" y1="23.622" x2="24.93" y2="23.58" width="0.508" layer="1"/>
-<wire x1="21.463" y1="27.686" x2="21.59" y2="27.559" width="0.508" layer="1"/>
-<wire x1="21.463" y1="28.575" x2="21.463" y2="27.686" width="0.508" layer="1"/>
-<wire x1="21.209" y1="28.829" x2="21.463" y2="28.575" width="0.508" layer="1"/>
-<wire x1="21.209" y1="29.21" x2="21.209" y2="28.829" width="0.508" layer="1"/>
-<wire x1="21.59" y1="27.559" x2="21.59" y2="27.52" width="0.508" layer="1"/>
+<wire x1="21.463" y1="28.956" x2="21.209" y2="29.21" width="0.508" layer="1"/>
+<wire x1="21.463" y1="27.686" x2="21.463" y2="28.956" width="0.508" layer="1"/>
+<wire x1="21.59" y1="27.559" x2="21.463" y2="27.686" width="0.508" layer="1"/>
<wire x1="21.209" y1="29.21" x2="21.17" y2="29.21" width="0.508" layer="1"/>
+<wire x1="21.59" y1="27.559" x2="21.59" y2="27.52" width="0.508" layer="1"/>
<wire x1="21.717" y1="27.559" x2="24.638" y2="27.559" width="0.508" layer="1"/>
<wire x1="24.638" y1="27.559" x2="27.94" y2="27.559" width="0.508" layer="1"/>
<wire x1="27.94" y1="27.559" x2="27.94" y2="27.52" width="0.508" layer="1"/>
<wire x1="21.717" y1="27.559" x2="21.59" y2="27.52" width="0.508" layer="1"/>
<wire x1="24.638" y1="24.13" x2="24.892" y2="23.876" width="0.508" layer="1"/>
-<wire x1="24.638" y1="27.559" x2="24.638" y2="24.13" width="0.508" layer="1"/>
+<wire x1="24.638" y1="27.559" x2="24.638" y2="25.273" width="0.508" layer="1"/>
+<wire x1="24.638" y1="25.273" x2="24.638" y2="24.13" width="0.508" layer="1"/>
<wire x1="24.892" y1="23.876" x2="24.93" y2="23.58" width="0.508" layer="1"/>
-<wire x1="49.276" y1="22.098" x2="48.387" y2="21.209" width="0.508" layer="1"/>
-<wire x1="48.387" y1="21.209" x2="47.498" y2="20.32" width="0.508" layer="1"/>
-<wire x1="49.276" y1="23.622" x2="49.276" y2="22.098" width="0.508" layer="1"/>
-<wire x1="47.498" y1="25.4" x2="49.276" y2="23.622" width="0.508" layer="1"/>
-<wire x1="47.498" y1="20.32" x2="47.42" y2="20.32" width="0.508" layer="1"/>
-<wire x1="47.498" y1="25.4" x2="47.42" y2="25.4" width="0.508" layer="1"/>
+<wire x1="7.62" y1="14.859" x2="10.16" y2="14.859" width="0.508" layer="1"/>
+<wire x1="7.62" y1="15.485" x2="7.62" y2="14.859" width="0.508" layer="1"/>
+<wire x1="10.16" y1="14.859" x2="10.16" y2="14.82" width="0.508" layer="1"/>
+<wire x1="10.287" y1="14.351" x2="13.081" y2="14.351" width="0.508" layer="1"/>
+<wire x1="13.081" y1="14.351" x2="15.875" y2="14.351" width="0.508" layer="1"/>
+<wire x1="10.287" y1="14.605" x2="10.287" y2="14.351" width="0.508" layer="1"/>
+<wire x1="15.875" y1="14.351" x2="15.875" y2="14.39" width="0.508" layer="1"/>
+<wire x1="10.287" y1="14.605" x2="10.16" y2="14.82" width="0.508" layer="1"/>
+<wire x1="7.62" y1="15.494" x2="7.62" y2="15.485" width="0.508" layer="1"/>
<wire x1="7.62" y1="9.271" x2="10.16" y2="9.271" width="0.508" layer="1"/>
<wire x1="7.62" y1="8.5" x2="7.62" y2="9.271" width="0.508" layer="1"/>
<wire x1="10.16" y1="9.271" x2="10.16" y2="9.31" width="0.508" layer="1"/>
+<wire x1="7.239" y1="7.874" x2="7.62" y2="8.255" width="0.508" layer="1"/>
+<wire x1="7.239" y1="6.858" x2="7.239" y2="7.874" width="0.508" layer="1"/>
+<wire x1="6.35" y1="5.969" x2="7.239" y2="6.858" width="0.508" layer="1"/>
+<wire x1="6.35" y1="5.08" x2="6.35" y2="5.969" width="0.508" layer="1"/>
+<wire x1="7.62" y1="8.255" x2="7.62" y2="8.5" width="0.508" layer="1"/>
<wire x1="24.13" y1="10.287" x2="24.003" y2="10.16" width="0.508" layer="1"/>
<wire x1="24.13" y1="11.98" x2="24.13" y2="10.287" width="0.508" layer="1"/>
<wire x1="24.003" y1="10.16" x2="23.915" y2="10.16" width="0.508" layer="1"/>
<wire x1="24.257" y1="11.938" x2="24.892" y2="11.938" width="0.508" layer="1"/>
<wire x1="24.892" y1="11.938" x2="24.93" y2="11.98" width="0.508" layer="1"/>
<wire x1="24.257" y1="11.938" x2="24.13" y2="11.98" width="0.508" layer="1"/>
+<wire x1="19.558" y1="14.478" x2="18.415" y2="14.478" width="0.508" layer="1"/>
+<wire x1="19.558" y1="3.81" x2="19.558" y2="11.938" width="0.508" layer="16"/>
+<wire x1="19.558" y1="11.938" x2="19.558" y2="14.478" width="0.508" layer="16"/>
+<wire x1="22.86" y1="3.81" x2="19.558" y2="3.81" width="0.508" layer="16"/>
+<wire x1="18.415" y1="14.478" x2="18.33" y2="14.58" width="0.508" layer="1"/>
+<wire x1="28.956" y1="11.938" x2="28.194" y2="11.938" width="0.508" layer="1"/>
+<wire x1="19.558" y1="11.938" x2="22.86" y2="11.938" width="0.508" layer="16"/>
+<wire x1="22.86" y1="11.938" x2="28.956" y2="11.938" width="0.508" layer="16"/>
+<wire x1="28.194" y1="11.938" x2="28.13" y2="11.98" width="0.508" layer="1"/>
<wire x1="22.86" y1="10.16" x2="23.915" y2="10.16" width="0.508" layer="1"/>
-<wire x1="15.875" y1="3.175" x2="22.86" y2="10.16" width="0.508" layer="16"/>
-<wire x1="8.255" y1="3.175" x2="15.875" y2="3.175" width="0.508" layer="16"/>
-<wire x1="6.35" y1="5.08" x2="8.255" y2="3.175" width="0.508" layer="16"/>
-<wire x1="7.239" y1="7.874" x2="7.62" y2="8.255" width="0.508" layer="1"/>
-<wire x1="7.239" y1="6.858" x2="7.239" y2="7.874" width="0.508" layer="1"/>
-<wire x1="6.35" y1="5.969" x2="7.239" y2="6.858" width="0.508" layer="1"/>
-<wire x1="6.35" y1="5.08" x2="6.35" y2="5.969" width="0.508" layer="1"/>
-<wire x1="7.62" y1="8.255" x2="7.62" y2="8.5" width="0.508" layer="1"/>
-<wire x1="42.418" y1="15.24" x2="48.387" y2="21.209" width="0.508" layer="16"/>
-<wire x1="27.94" y1="15.24" x2="29.083" y2="15.24" width="0.508" layer="16"/>
-<wire x1="29.083" y1="15.24" x2="42.418" y2="15.24" width="0.508" layer="16"/>
-<wire x1="22.86" y1="10.16" x2="27.94" y2="15.24" width="0.508" layer="16"/>
-<wire x1="24.384" y1="23.241" x2="24.257" y2="23.368" width="0.508" layer="1"/>
-<wire x1="24.384" y1="20.066" x2="24.384" y2="23.241" width="0.508" layer="1"/>
-<wire x1="29.083" y1="15.367" x2="24.384" y2="20.066" width="0.508" layer="16"/>
-<wire x1="24.257" y1="23.368" x2="24.13" y2="23.58" width="0.508" layer="1"/>
-<wire x1="29.083" y1="15.367" x2="29.083" y2="15.24" width="0.508" layer="16"/>
-<wire x1="11.684" y1="10.541" x2="11.684" y2="14.351" width="0.508" layer="1"/>
-<wire x1="10.287" y1="9.144" x2="11.684" y2="10.541" width="0.508" layer="1"/>
-<wire x1="10.287" y1="9.144" x2="10.16" y2="9.31" width="0.508" layer="1"/>
+<wire x1="22.86" y1="11.938" x2="22.86" y2="10.16" width="0.508" layer="16"/>
+<wire x1="12.7" y1="14.605" x2="2.54" y2="24.765" width="0.508" layer="16"/>
+<wire x1="19.431" y1="14.605" x2="13.081" y2="14.605" width="0.508" layer="16"/>
+<wire x1="13.081" y1="14.605" x2="12.7" y2="14.605" width="0.508" layer="16"/>
+<wire x1="19.431" y1="14.605" x2="19.558" y2="14.478" width="0.508" layer="16"/>
+<wire x1="8.255" y1="3.175" x2="6.35" y2="5.08" width="0.508" layer="16"/>
+<wire x1="18.923" y1="3.175" x2="8.255" y2="3.175" width="0.508" layer="16"/>
+<wire x1="19.558" y1="3.81" x2="18.923" y2="3.175" width="0.508" layer="16"/>
+<wire x1="13.081" y1="14.605" x2="13.081" y2="14.351" width="0.508" layer="1"/>
+<wire x1="20.32" y1="20.955" x2="24.638" y2="25.273" width="0.508" layer="16"/>
+<wire x1="20.32" y1="14.732" x2="20.32" y2="20.955" width="0.508" layer="16"/>
+<wire x1="19.558" y1="14.732" x2="20.32" y2="14.732" width="0.508" layer="16"/>
+<wire x1="19.558" y1="14.732" x2="19.558" y2="14.478" width="0.508" layer="16"/>
+<wire x1="22.987" y1="3.683" x2="25.273" y2="3.683" width="0.508" layer="16"/>
+<wire x1="25.273" y1="3.683" x2="25.4" y2="3.81" width="0.508" layer="16"/>
+<wire x1="22.987" y1="3.683" x2="22.86" y2="3.81" width="0.508" layer="16"/>
+<wire x1="35.941" y1="14.859" x2="37.338" y2="14.859" width="0.508" layer="1"/>
+<wire x1="34.798" y1="13.716" x2="35.941" y2="14.859" width="0.508" layer="16"/>
+<wire x1="30.734" y1="13.716" x2="34.798" y2="13.716" width="0.508" layer="16"/>
+<wire x1="28.956" y1="11.938" x2="30.734" y2="13.716" width="0.508" layer="16"/>
+<wire x1="37.338" y1="14.859" x2="37.465" y2="15.01" width="0.508" layer="1"/>
+<via x="36.576" y="3.556" extent="1-16" drill="0.3048"/>
+<via x="19.558" y="14.478" extent="1-16" drill="0.3048"/>
+<via x="28.956" y="11.938" extent="1-16" drill="0.3048"/>
<via x="22.86" y="10.16" extent="1-16" drill="0.3048"/>
-<via x="48.387" y="21.209" extent="1-16" drill="0.3048"/>
-<via x="24.384" y="20.066" extent="1-16" drill="0.3048"/>
+<via x="13.081" y="14.605" extent="1-16" drill="0.3048"/>
+<via x="24.638" y="25.273" extent="1-16" drill="0.3048"/>
+<via x="35.941" y="14.859" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$1">
<contactref element="R1" pad="2"/>
@@ -1949,6 +2051,54 @@ design rules under a new name.</description>
<contactref element="D3" pad="1"/>
<contactref element="D6" pad="1"/>
<contactref element="D7" pad="1"/>
+<contactref element="R2" pad="2"/>
+<contactref element="U$3" pad="P$4"/>
+<contactref element="C6" pad="1"/>
+<contactref element="U$4" pad="P$4"/>
+<contactref element="C7" pad="2"/>
+<contactref element="U$6" pad="2"/>
+<contactref element="C9" pad="1"/>
+<wire x1="37.465" y1="20.193" x2="37.592" y2="20.32" width="0.254" layer="1"/>
+<wire x1="37.465" y1="18.01" x2="37.465" y2="20.193" width="0.254" layer="1"/>
+<wire x1="37.592" y1="20.32" x2="37.67" y2="20.32" width="0.254" layer="1"/>
+<wire x1="27.432" y1="25.273" x2="27.94" y2="25.781" width="0.254" layer="1"/>
+<wire x1="27.432" y1="23.622" x2="27.432" y2="25.273" width="0.254" layer="1"/>
+<wire x1="27.94" y1="25.781" x2="27.94" y2="25.82" width="0.254" layer="1"/>
+<wire x1="27.432" y1="23.622" x2="27.33" y2="23.58" width="0.254" layer="1"/>
+<wire x1="37.719" y1="25.4" x2="37.719" y2="22.86" width="0.254" layer="1"/>
+<wire x1="37.719" y1="25.4" x2="37.67" y2="25.4" width="0.254" layer="1"/>
+<wire x1="37.592" y1="25.654" x2="27.94" y2="25.654" width="0.254" layer="1"/>
+<wire x1="37.592" y1="25.4" x2="37.592" y2="25.654" width="0.254" layer="1"/>
+<wire x1="27.94" y1="25.654" x2="27.94" y2="25.82" width="0.254" layer="1"/>
+<wire x1="37.592" y1="25.4" x2="37.67" y2="25.4" width="0.254" layer="1"/>
+<wire x1="37.719" y1="22.86" x2="37.719" y2="20.32" width="0.254" layer="1"/>
+<wire x1="37.719" y1="20.32" x2="37.67" y2="20.32" width="0.254" layer="1"/>
+<wire x1="37.719" y1="22.86" x2="37.67" y2="22.86" width="0.254" layer="1"/>
+<wire x1="45.72" y1="28.829" x2="47.371" y2="30.48" width="0.254" layer="1"/>
+<wire x1="45.72" y1="17.907" x2="45.72" y2="28.829" width="0.254" layer="1"/>
+<wire x1="43.18" y1="15.367" x2="45.72" y2="17.907" width="0.254" layer="1"/>
+<wire x1="41.783" y1="15.367" x2="43.18" y2="15.367" width="0.254" layer="1"/>
+<wire x1="41.783" y1="15.24" x2="41.783" y2="15.367" width="0.254" layer="1"/>
+<wire x1="47.371" y1="30.48" x2="47.42" y2="30.48" width="0.254" layer="1"/>
+<wire x1="41.783" y1="15.24" x2="41.75" y2="15.24" width="0.254" layer="1"/>
+<wire x1="40.005" y1="15.367" x2="37.465" y2="17.907" width="0.254" layer="1"/>
+<wire x1="41.783" y1="15.367" x2="40.005" y2="15.367" width="0.254" layer="1"/>
+<wire x1="37.465" y1="17.907" x2="37.465" y2="18.01" width="0.254" layer="1"/>
+<wire x1="41.783" y1="15.367" x2="41.75" y2="15.24" width="0.254" layer="1"/>
+<wire x1="10.16" y1="13.12" x2="10.16" y2="11.43" width="0.254" layer="1"/>
+<wire x1="10.16" y1="11.43" x2="10.16" y2="11.01" width="0.254" layer="1"/>
+<wire x1="10.16" y1="11.43" x2="16.764" y2="11.43" width="0.254" layer="1"/>
+<wire x1="16.764" y1="11.43" x2="16.93" y2="11.43" width="0.254" layer="1"/>
+<wire x1="10.16" y1="11.049" x2="10.16" y2="11.01" width="0.254" layer="1"/>
+<wire x1="4.318" y1="12.7" x2="2.54" y2="12.7" width="0.254" layer="1"/>
+<wire x1="4.318" y1="16.891" x2="4.318" y2="12.7" width="0.254" layer="1"/>
+<wire x1="2.54" y1="18.669" x2="4.318" y2="16.891" width="0.254" layer="1"/>
+<wire x1="2.54" y1="19.685" x2="2.54" y2="18.669" width="0.254" layer="1"/>
+<wire x1="9.017" y1="10.922" x2="10.16" y2="10.922" width="0.254" layer="1"/>
+<wire x1="8.763" y1="10.668" x2="9.017" y2="10.922" width="0.254" layer="1"/>
+<wire x1="4.318" y1="10.668" x2="8.763" y2="10.668" width="0.254" layer="1"/>
+<wire x1="4.318" y1="12.7" x2="4.318" y2="10.668" width="0.254" layer="1"/>
+<wire x1="10.16" y1="10.922" x2="10.16" y2="11.01" width="0.254" layer="1"/>
<wire x1="25.654" y1="11.938" x2="25.654" y2="10.16" width="0.254" layer="1"/>
<wire x1="25.654" y1="10.16" x2="25.615" y2="10.16" width="0.254" layer="1"/>
<wire x1="25.654" y1="11.938" x2="25.73" y2="11.98" width="0.254" layer="1"/>
@@ -1967,198 +2117,159 @@ design rules under a new name.</description>
<wire x1="18.288" y1="16.129" x2="18.288" y2="16.002" width="0.254" layer="1"/>
<wire x1="15.875" y1="16.002" x2="15.875" y2="16.09" width="0.254" layer="1"/>
<wire x1="18.288" y1="16.129" x2="18.33" y2="16.18" width="0.254" layer="1"/>
-<wire x1="16.129" y1="16.002" x2="16.129" y2="24.003" width="0.254" layer="1"/>
-<wire x1="16.129" y1="24.003" x2="16.129" y2="26.67" width="0.254" layer="1"/>
-<wire x1="16.129" y1="26.67" x2="16.13" y2="26.67" width="0.254" layer="1"/>
-<wire x1="16.129" y1="16.002" x2="15.875" y2="16.09" width="0.254" layer="1"/>
-<wire x1="21.082" y1="16.383" x2="24.0665" y2="13.3985" width="0.254" layer="1"/>
-<wire x1="18.415" y1="16.383" x2="21.082" y2="16.383" width="0.254" layer="1"/>
-<wire x1="18.415" y1="16.256" x2="18.415" y2="16.383" width="0.254" layer="1"/>
+<wire x1="19.558" y1="23.368" x2="16.256" y2="26.67" width="0.254" layer="1"/>
+<wire x1="19.558" y1="22.733" x2="19.558" y2="23.368" width="0.254" layer="1"/>
+<wire x1="20.193" y1="22.098" x2="19.558" y2="22.733" width="0.254" layer="1"/>
+<wire x1="20.193" y1="17.399" x2="20.193" y2="22.098" width="0.254" layer="1"/>
+<wire x1="19.05" y1="16.256" x2="20.1295" y2="17.3355" width="0.254" layer="1"/>
+<wire x1="20.1295" y1="17.3355" x2="20.193" y2="17.399" width="0.254" layer="1"/>
+<wire x1="18.415" y1="16.256" x2="19.05" y2="16.256" width="0.254" layer="1"/>
+<wire x1="16.256" y1="26.67" x2="16.13" y2="26.67" width="0.254" layer="1"/>
<wire x1="18.415" y1="16.256" x2="18.33" y2="16.18" width="0.254" layer="1"/>
-<wire x1="27.432" y1="25.273" x2="27.94" y2="25.781" width="0.254" layer="1"/>
-<wire x1="27.432" y1="23.622" x2="27.432" y2="25.273" width="0.254" layer="1"/>
-<wire x1="27.94" y1="25.781" x2="27.94" y2="25.82" width="0.254" layer="1"/>
-<wire x1="27.432" y1="23.622" x2="27.33" y2="23.58" width="0.254" layer="1"/>
-<wire x1="37.719" y1="22.86" x2="37.719" y2="20.32" width="0.254" layer="1"/>
-<wire x1="37.719" y1="20.32" x2="37.67" y2="20.32" width="0.254" layer="1"/>
-<wire x1="37.719" y1="22.86" x2="37.719" y2="25.4" width="0.254" layer="1"/>
-<wire x1="37.719" y1="22.86" x2="37.67" y2="22.86" width="0.254" layer="1"/>
-<wire x1="46.99" y1="30.099" x2="47.371" y2="30.48" width="0.254" layer="1"/>
-<wire x1="46.99" y1="29.591" x2="46.99" y2="30.099" width="0.254" layer="1"/>
-<wire x1="43.688" y1="26.289" x2="46.99" y2="29.591" width="0.254" layer="16"/>
-<wire x1="38.227" y1="26.289" x2="43.688" y2="26.289" width="0.254" layer="16"/>
-<wire x1="37.719" y1="25.781" x2="38.227" y2="26.289" width="0.254" layer="1"/>
-<wire x1="37.719" y1="25.4" x2="37.719" y2="25.781" width="0.254" layer="1"/>
-<wire x1="47.371" y1="30.48" x2="47.42" y2="30.48" width="0.254" layer="1"/>
-<wire x1="37.719" y1="25.4" x2="37.67" y2="25.4" width="0.254" layer="1"/>
-<wire x1="28.956" y1="25.908" x2="27.94" y2="25.908" width="0.254" layer="1"/>
-<wire x1="29.337" y1="26.289" x2="28.956" y2="25.908" width="0.254" layer="16"/>
-<wire x1="38.227" y1="26.289" x2="29.337" y2="26.289" width="0.254" layer="16"/>
-<wire x1="27.94" y1="25.908" x2="27.94" y2="25.82" width="0.254" layer="1"/>
-<wire x1="16.256" y1="23.876" x2="16.129" y2="24.003" width="0.254" layer="16"/>
-<wire x1="22.86" y1="23.876" x2="16.256" y2="23.876" width="0.254" layer="16"/>
-<wire x1="24.892" y1="25.908" x2="22.86" y2="23.876" width="0.254" layer="16"/>
-<wire x1="28.956" y1="25.908" x2="24.892" y2="25.908" width="0.254" layer="16"/>
-<via x="46.99" y="29.591" extent="1-16" drill="0.3048"/>
-<via x="38.227" y="26.289" extent="1-16" drill="0.3048"/>
-<via x="28.956" y="25.908" extent="1-16" drill="0.3048"/>
-<via x="16.129" y="24.003" extent="1-16" drill="0.3048"/>
+<wire x1="20.1295" y1="17.3355" x2="21.3995" y2="16.0655" width="0.254" layer="1"/>
+<wire x1="21.3995" y1="16.0655" x2="24.0665" y2="13.3985" width="0.254" layer="1"/>
+<wire x1="16.764" y1="14.986" x2="16.764" y2="11.43" width="0.254" layer="1"/>
+<wire x1="16.129" y1="15.621" x2="16.764" y2="14.986" width="0.254" layer="1"/>
+<wire x1="16.129" y1="16.002" x2="16.129" y2="15.621" width="0.254" layer="1"/>
+<wire x1="16.891" y1="11.43" x2="16.93" y2="11.43" width="0.254" layer="1"/>
+<wire x1="16.129" y1="16.002" x2="15.875" y2="16.09" width="0.254" layer="1"/>
+<wire x1="27.432" y1="22.098" x2="27.432" y2="23.495" width="0.254" layer="1"/>
+<wire x1="21.3995" y1="16.0655" x2="27.432" y2="22.098" width="0.254" layer="1"/>
+<wire x1="27.432" y1="23.495" x2="27.33" y2="23.58" width="0.254" layer="1"/>
</signal>
<signal name="GNDIO">
-<contactref element="U$1" pad="22"/>
-<contactref element="JP1" pad="1"/>
-<contactref element="JP2" pad="1"/>
<contactref element="U$1" pad="15"/>
<contactref element="U$1" pad="14"/>
<contactref element="U$1" pad="11"/>
<contactref element="U$5" pad="5"/>
<contactref element="U$5" pad="10"/>
<contactref element="U$1" pad="13"/>
-<wire x1="28.956" y1="11.938" x2="28.194" y2="11.938" width="0.254" layer="1"/>
-<wire x1="24.003" y1="6.985" x2="28.956" y2="11.938" width="0.254" layer="16"/>
-<wire x1="24.003" y1="3.81" x2="24.003" y2="6.985" width="0.254" layer="16"/>
-<wire x1="22.86" y1="3.81" x2="24.003" y2="3.81" width="0.254" layer="16"/>
-<wire x1="28.194" y1="11.938" x2="28.13" y2="11.98" width="0.254" layer="1"/>
-<wire x1="33.528" y1="17.653" x2="32.258" y2="16.383" width="0.254" layer="1"/>
-<wire x1="33.528" y1="19.939" x2="33.528" y2="17.653" width="0.254" layer="1"/>
-<wire x1="35.052" y1="21.463" x2="34.2265" y2="20.6375" width="0.254" layer="1"/>
-<wire x1="34.2265" y1="20.6375" x2="33.528" y2="19.939" width="0.254" layer="1"/>
-<wire x1="35.052" y1="21.717" x2="35.052" y2="21.463" width="0.254" layer="1"/>
-<wire x1="32.258" y1="16.383" x2="32.205" y2="16.35" width="0.254" layer="1"/>
-<wire x1="35.052" y1="21.717" x2="35.105" y2="21.75" width="0.254" layer="1"/>
<wire x1="21.717" y1="11.938" x2="20.955" y2="11.938" width="0.254" layer="1"/>
<wire x1="20.955" y1="11.938" x2="20.93" y2="11.98" width="0.254" layer="1"/>
<wire x1="21.717" y1="11.938" x2="21.73" y2="11.98" width="0.254" layer="1"/>
<wire x1="21.844" y1="11.938" x2="22.479" y2="11.938" width="0.254" layer="1"/>
<wire x1="22.479" y1="11.938" x2="22.53" y2="11.98" width="0.254" layer="1"/>
<wire x1="21.844" y1="11.938" x2="21.73" y2="11.98" width="0.254" layer="1"/>
-<wire x1="20.828" y1="13.716" x2="19.558" y2="13.716" width="0.254" layer="1"/>
-<wire x1="19.558" y1="13.716" x2="18.415" y2="13.716" width="0.254" layer="1"/>
-<wire x1="20.828" y1="12.065" x2="20.828" y2="13.716" width="0.254" layer="1"/>
+<wire x1="19.177" y1="13.716" x2="18.415" y2="13.716" width="0.254" layer="1"/>
+<wire x1="19.304" y1="13.589" x2="19.177" y2="13.716" width="0.254" layer="1"/>
+<wire x1="20.828" y1="13.589" x2="19.304" y2="13.589" width="0.254" layer="1"/>
+<wire x1="20.828" y1="12.065" x2="20.828" y2="13.589" width="0.254" layer="1"/>
<wire x1="18.415" y1="13.716" x2="18.33" y2="13.78" width="0.254" layer="1"/>
<wire x1="20.828" y1="12.065" x2="20.93" y2="11.98" width="0.254" layer="1"/>
-<wire x1="3.937" y1="23.368" x2="2.54" y2="24.765" width="0.254" layer="16"/>
-<wire x1="16.129" y1="23.368" x2="3.937" y2="23.368" width="0.254" layer="16"/>
-<wire x1="19.558" y1="19.939" x2="18.8595" y2="20.6375" width="0.254" layer="16"/>
-<wire x1="18.8595" y1="20.6375" x2="16.129" y2="23.368" width="0.254" layer="16"/>
-<wire x1="19.558" y1="13.716" x2="19.558" y2="19.939" width="0.254" layer="16"/>
-<wire x1="18.923" y1="20.701" x2="34.163" y2="20.701" width="0.254" layer="16"/>
-<wire x1="34.163" y1="20.701" x2="34.2265" y2="20.6375" width="0.254" layer="1"/>
-<wire x1="18.923" y1="20.701" x2="18.8595" y2="20.6375" width="0.254" layer="16"/>
-<wire x1="20.828" y1="5.842" x2="22.86" y2="3.81" width="0.254" layer="1"/>
-<wire x1="20.828" y1="11.938" x2="20.828" y2="5.842" width="0.254" layer="1"/>
-<wire x1="20.828" y1="11.938" x2="20.93" y2="11.98" width="0.254" layer="1"/>
-<via x="28.956" y="11.938" extent="1-16" drill="0.3048"/>
-<via x="19.558" y="13.716" extent="1-16" drill="0.3048"/>
-<via x="34.163" y="20.701" extent="1-16" drill="0.3048"/>
+<wire x1="33.782" y1="17.907" x2="33.3375" y2="17.4625" width="0.254" layer="1"/>
+<wire x1="33.3375" y1="17.4625" x2="32.258" y2="16.383" width="0.254" layer="1"/>
+<wire x1="33.782" y1="20.193" x2="33.782" y2="17.907" width="0.254" layer="1"/>
+<wire x1="35.052" y1="21.463" x2="33.782" y2="20.193" width="0.254" layer="1"/>
+<wire x1="35.052" y1="21.717" x2="35.052" y2="21.463" width="0.254" layer="1"/>
+<wire x1="32.258" y1="16.383" x2="32.205" y2="16.35" width="0.254" layer="1"/>
+<wire x1="35.052" y1="21.717" x2="35.105" y2="21.75" width="0.254" layer="1"/>
+<wire x1="21.082" y1="13.843" x2="20.828" y2="13.589" width="0.254" layer="1"/>
+<wire x1="24.892" y1="17.653" x2="21.082" y2="13.843" width="0.254" layer="16"/>
+<wire x1="33.147" y1="17.653" x2="24.892" y2="17.653" width="0.254" layer="16"/>
+<wire x1="33.3375" y1="17.4625" x2="33.147" y2="17.653" width="0.254" layer="1"/>
+<via x="21.082" y="13.843" extent="1-16" drill="0.3048"/>
+<via x="33.147" y="17.653" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$2">
<contactref element="U$1" pad="6"/>
<contactref element="JP2" pad="10"/>
-<wire x1="17.018" y1="17.78" x2="18.33" y2="17.78" width="0.254" layer="1"/>
-<wire x1="16.129" y1="18.669" x2="17.018" y2="17.78" width="0.254" layer="16"/>
-<wire x1="15.494" y1="18.669" x2="16.129" y2="18.669" width="0.254" layer="16"/>
-<wire x1="14.351" y1="19.812" x2="15.494" y2="18.669" width="0.254" layer="1"/>
-<wire x1="14.351" y1="25.4" x2="14.351" y2="19.812" width="0.254" layer="1"/>
+<wire x1="17.526" y1="17.78" x2="18.33" y2="17.78" width="0.254" layer="1"/>
+<wire x1="14.351" y1="20.955" x2="17.526" y2="17.78" width="0.254" layer="1"/>
+<wire x1="14.351" y1="25.4" x2="14.351" y2="20.955" width="0.254" layer="1"/>
<wire x1="12.7" y1="27.051" x2="14.351" y2="25.4" width="0.254" layer="1"/>
<wire x1="12.7" y1="27.305" x2="12.7" y2="27.051" width="0.254" layer="1"/>
-<via x="17.018" y="17.78" extent="1-16" drill="0.3048"/>
-<via x="15.494" y="18.669" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$3">
<contactref element="U$1" pad="5"/>
<contactref element="JP2" pad="8"/>
-<wire x1="17.018" y1="18.669" x2="18.288" y2="18.669" width="0.254" layer="1"/>
-<wire x1="16.383" y1="19.304" x2="17.018" y2="18.669" width="0.254" layer="16"/>
-<wire x1="10.922" y1="19.304" x2="16.383" y2="19.304" width="0.254" layer="16"/>
-<wire x1="9.271" y1="20.955" x2="10.922" y2="19.304" width="0.254" layer="16"/>
-<wire x1="9.017" y1="21.209" x2="9.271" y2="20.955" width="0.254" layer="1"/>
-<wire x1="9.017" y1="25.908" x2="9.017" y2="21.209" width="0.254" layer="1"/>
-<wire x1="10.16" y1="27.051" x2="9.017" y2="25.908" width="0.254" layer="1"/>
-<wire x1="10.16" y1="27.305" x2="10.16" y2="27.051" width="0.254" layer="1"/>
+<wire x1="17.399" y1="18.669" x2="18.288" y2="18.669" width="0.254" layer="1"/>
+<wire x1="14.859" y1="21.209" x2="17.399" y2="18.669" width="0.254" layer="1"/>
+<wire x1="14.859" y1="25.527" x2="14.859" y2="21.209" width="0.254" layer="1"/>
+<wire x1="14.351" y1="26.035" x2="14.859" y2="25.527" width="0.254" layer="1"/>
+<wire x1="14.351" y1="27.94" x2="14.351" y2="26.035" width="0.254" layer="1"/>
+<wire x1="13.335" y1="28.956" x2="14.351" y2="27.94" width="0.254" layer="1"/>
+<wire x1="10.287" y1="28.956" x2="13.335" y2="28.956" width="0.254" layer="1"/>
+<wire x1="10.287" y1="27.432" x2="10.287" y2="28.956" width="0.254" layer="1"/>
+<wire x1="10.16" y1="27.305" x2="10.287" y2="27.432" width="0.254" layer="1"/>
<wire x1="18.288" y1="18.669" x2="18.33" y2="18.58" width="0.254" layer="1"/>
-<via x="17.018" y="18.669" extent="1-16" drill="0.3048"/>
-<via x="9.271" y="20.955" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$4">
<contactref element="U$1" pad="4"/>
<contactref element="JP2" pad="6"/>
-<wire x1="17.399" y1="19.431" x2="18.288" y2="19.431" width="0.254" layer="1"/>
-<wire x1="17.018" y1="19.812" x2="17.399" y2="19.431" width="0.254" layer="1"/>
-<wire x1="15.24" y1="21.59" x2="17.018" y2="19.812" width="0.254" layer="16"/>
-<wire x1="4.191" y1="21.59" x2="15.24" y2="21.59" width="0.254" layer="16"/>
-<wire x1="1.397" y1="24.384" x2="4.191" y2="21.59" width="0.254" layer="16"/>
-<wire x1="1.397" y1="26.162" x2="1.397" y2="24.384" width="0.254" layer="16"/>
-<wire x1="6.477" y1="26.162" x2="1.397" y2="26.162" width="0.254" layer="16"/>
-<wire x1="7.62" y1="27.305" x2="6.477" y2="26.162" width="0.254" layer="16"/>
-<wire x1="18.288" y1="19.431" x2="18.33" y2="19.38" width="0.254" layer="1"/>
-<via x="17.018" y="19.812" extent="1-16" drill="0.3048"/>
+<wire x1="19.177" y1="19.431" x2="18.415" y2="19.431" width="0.254" layer="1"/>
+<wire x1="19.558" y1="19.812" x2="19.177" y2="19.431" width="0.254" layer="1"/>
+<wire x1="19.558" y1="26.162" x2="19.558" y2="19.812" width="0.254" layer="16"/>
+<wire x1="8.763" y1="26.162" x2="19.558" y2="26.162" width="0.254" layer="16"/>
+<wire x1="7.62" y1="27.305" x2="8.763" y2="26.162" width="0.254" layer="16"/>
+<wire x1="18.415" y1="19.431" x2="18.33" y2="19.38" width="0.254" layer="1"/>
+<via x="19.558" y="19.812" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$5">
<contactref element="U$1" pad="7"/>
<contactref element="JP2" pad="4"/>
-<wire x1="19.939" y1="17.018" x2="18.415" y2="17.018" width="0.254" layer="1"/>
-<wire x1="19.939" y1="21.59" x2="19.939" y2="17.018" width="0.254" layer="1"/>
-<wire x1="17.653" y1="23.876" x2="19.939" y2="21.59" width="0.254" layer="1"/>
-<wire x1="17.653" y1="24.511" x2="17.653" y2="23.876" width="0.254" layer="1"/>
-<wire x1="13.716" y1="28.448" x2="17.653" y2="24.511" width="0.254" layer="16"/>
-<wire x1="6.223" y1="28.448" x2="13.716" y2="28.448" width="0.254" layer="16"/>
-<wire x1="5.08" y1="27.305" x2="6.223" y2="28.448" width="0.254" layer="16"/>
-<wire x1="18.415" y1="17.018" x2="18.33" y2="16.98" width="0.254" layer="1"/>
-<via x="17.653" y="24.511" extent="1-16" drill="0.3048"/>
+<wire x1="17.018" y1="16.891" x2="18.288" y2="16.891" width="0.254" layer="1"/>
+<wire x1="14.605" y1="19.304" x2="17.018" y2="16.891" width="0.254" layer="16"/>
+<wire x1="10.922" y1="19.304" x2="14.605" y2="19.304" width="0.254" layer="16"/>
+<wire x1="6.223" y1="24.003" x2="10.922" y2="19.304" width="0.254" layer="16"/>
+<wire x1="6.223" y1="27.305" x2="6.223" y2="24.003" width="0.254" layer="16"/>
+<wire x1="5.08" y1="27.305" x2="6.223" y2="27.305" width="0.254" layer="16"/>
+<wire x1="18.288" y1="16.891" x2="18.33" y2="16.98" width="0.254" layer="1"/>
+<via x="17.018" y="16.891" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$7">
<contactref element="U$1" pad="1"/>
<contactref element="JP2" pad="9"/>
<contactref element="JP10" pad="2"/>
-<wire x1="16.764" y1="23.368" x2="18.288" y2="21.844" width="0.254" layer="1"/>
-<wire x1="16.764" y1="24.511" x2="16.764" y2="23.368" width="0.254" layer="1"/>
-<wire x1="16.51" y1="24.765" x2="16.764" y2="24.511" width="0.254" layer="16"/>
-<wire x1="12.7" y1="24.765" x2="16.51" y2="24.765" width="0.254" layer="16"/>
+<wire x1="18.034" y1="22.098" x2="18.288" y2="21.844" width="0.254" layer="1"/>
+<wire x1="18.034" y1="22.606" x2="18.034" y2="22.098" width="0.254" layer="1"/>
+<wire x1="16.51" y1="24.13" x2="18.034" y2="22.606" width="0.254" layer="16"/>
+<wire x1="13.335" y1="24.13" x2="16.51" y2="24.13" width="0.254" layer="16"/>
+<wire x1="12.7" y1="24.765" x2="13.335" y2="24.13" width="0.254" layer="16"/>
<wire x1="18.288" y1="21.844" x2="18.33" y2="21.78" width="0.254" layer="1"/>
-<wire x1="12.573" y1="20.828" x2="12.065" y2="20.32" width="0.254" layer="1"/>
-<wire x1="12.573" y1="24.638" x2="12.573" y2="20.828" width="0.254" layer="1"/>
-<wire x1="12.7" y1="24.765" x2="12.573" y2="24.638" width="0.254" layer="1"/>
-<via x="16.764" y="24.511" extent="1-16" drill="0.3048"/>
+<wire x1="12.319" y1="20.066" x2="12.065" y2="20.32" width="0.254" layer="16"/>
+<wire x1="16.764" y1="20.066" x2="12.319" y2="20.066" width="0.254" layer="16"/>
+<wire x1="17.907" y1="21.209" x2="16.764" y2="20.066" width="0.254" layer="16"/>
+<wire x1="17.907" y1="22.606" x2="17.907" y2="21.209" width="0.254" layer="16"/>
+<wire x1="17.907" y1="22.606" x2="18.034" y2="22.606" width="0.254" layer="16"/>
+<via x="18.034" y="22.606" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$15">
<contactref element="U$1" pad="2"/>
<contactref element="JP2" pad="7"/>
-<wire x1="17.526" y1="21.082" x2="18.288" y2="21.082" width="0.254" layer="1"/>
-<wire x1="17.018" y1="21.59" x2="17.526" y2="21.082" width="0.254" layer="1"/>
-<wire x1="15.875" y1="22.733" x2="17.018" y2="21.59" width="0.254" layer="16"/>
-<wire x1="11.557" y1="22.733" x2="15.875" y2="22.733" width="0.254" layer="16"/>
-<wire x1="10.16" y1="24.13" x2="11.557" y2="22.733" width="0.254" layer="1"/>
-<wire x1="10.16" y1="24.765" x2="10.16" y2="24.13" width="0.254" layer="1"/>
+<wire x1="17.018" y1="21.082" x2="18.288" y2="21.082" width="0.254" layer="1"/>
+<wire x1="17.018" y1="21.209" x2="17.018" y2="21.082" width="0.254" layer="1"/>
+<wire x1="14.605" y1="23.622" x2="17.018" y2="21.209" width="0.254" layer="16"/>
+<wire x1="11.303" y1="23.622" x2="14.605" y2="23.622" width="0.254" layer="16"/>
+<wire x1="10.16" y1="24.765" x2="11.303" y2="23.622" width="0.254" layer="16"/>
<wire x1="18.288" y1="21.082" x2="18.33" y2="20.98" width="0.254" layer="1"/>
-<via x="17.018" y="21.59" extent="1-16" drill="0.3048"/>
-<via x="11.557" y="22.733" extent="1-16" drill="0.3048"/>
+<via x="17.018" y="21.209" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$16">
<contactref element="U$1" pad="3"/>
<contactref element="JP2" pad="5"/>
-<wire x1="17.526" y1="20.193" x2="18.288" y2="20.193" width="0.254" layer="1"/>
-<wire x1="17.018" y1="20.701" x2="17.526" y2="20.193" width="0.254" layer="1"/>
-<wire x1="15.621" y1="22.098" x2="17.018" y2="20.701" width="0.254" layer="16"/>
-<wire x1="8.89" y1="22.098" x2="15.621" y2="22.098" width="0.254" layer="16"/>
-<wire x1="8.255" y1="22.733" x2="8.89" y2="22.098" width="0.254" layer="16"/>
-<wire x1="7.62" y1="23.368" x2="8.255" y2="22.733" width="0.254" layer="1"/>
-<wire x1="7.62" y1="24.765" x2="7.62" y2="23.368" width="0.254" layer="1"/>
-<wire x1="18.288" y1="20.193" x2="18.33" y2="20.18" width="0.254" layer="1"/>
-<via x="17.018" y="20.701" extent="1-16" drill="0.3048"/>
-<via x="8.255" y="22.733" extent="1-16" drill="0.3048"/>
+<wire x1="17.018" y1="20.066" x2="18.288" y2="20.066" width="0.254" layer="1"/>
+<wire x1="16.383" y1="20.701" x2="17.018" y2="20.066" width="0.254" layer="1"/>
+<wire x1="13.97" y1="23.114" x2="16.383" y2="20.701" width="0.254" layer="16"/>
+<wire x1="9.271" y1="23.114" x2="13.97" y2="23.114" width="0.254" layer="16"/>
+<wire x1="7.62" y1="24.765" x2="9.271" y2="23.114" width="0.254" layer="16"/>
+<wire x1="18.288" y1="20.066" x2="18.33" y2="20.18" width="0.254" layer="1"/>
+<via x="16.383" y="20.701" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$17">
<contactref element="U$1" pad="12"/>
<contactref element="JP2" pad="2"/>
-<wire x1="19.304" y1="12.065" x2="20.066" y2="12.065" width="0.254" layer="1"/>
-<wire x1="14.605" y1="16.764" x2="19.304" y2="12.065" width="0.254" layer="16"/>
-<wire x1="8.382" y1="16.764" x2="14.605" y2="16.764" width="0.254" layer="16"/>
-<wire x1="0.889" y1="24.257" x2="8.382" y2="16.764" width="0.254" layer="16"/>
-<wire x1="0.889" y1="27.305" x2="0.889" y2="24.257" width="0.254" layer="16"/>
-<wire x1="2.54" y1="27.305" x2="0.889" y2="27.305" width="0.254" layer="16"/>
-<wire x1="20.066" y1="12.065" x2="20.13" y2="11.98" width="0.254" layer="1"/>
-<via x="19.304" y="12.065" extent="1-16" drill="0.3048"/>
+<contactref element="R2" pad="1"/>
+<wire x1="20.066" y1="11.43" x2="18.63" y2="11.43" width="0.254" layer="1"/>
+<wire x1="20.066" y1="11.938" x2="20.066" y2="11.43" width="0.254" layer="1"/>
+<wire x1="20.066" y1="11.938" x2="20.13" y2="11.98" width="0.254" layer="1"/>
+<wire x1="18.542" y1="12.446" x2="18.542" y2="11.43" width="0.254" layer="1"/>
+<wire x1="17.018" y1="13.97" x2="18.542" y2="12.446" width="0.254" layer="16"/>
+<wire x1="11.176" y1="13.97" x2="17.018" y2="13.97" width="0.254" layer="16"/>
+<wire x1="1.397" y1="23.749" x2="11.176" y2="13.97" width="0.254" layer="16"/>
+<wire x1="1.397" y1="27.305" x2="1.397" y2="23.749" width="0.254" layer="16"/>
+<wire x1="2.54" y1="27.305" x2="1.397" y2="27.305" width="0.254" layer="16"/>
+<wire x1="18.542" y1="11.43" x2="18.63" y2="11.43" width="0.254" layer="1"/>
+<via x="18.542" y="12.446" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$23" class="1">
<contactref element="U$1" pad="42"/>
@@ -2178,29 +2289,27 @@ design rules under a new name.</description>
<contactref element="D1" pad="1"/>
<contactref element="D2" pad="2"/>
<contactref element="U$1" pad="41"/>
-<wire x1="39.751" y1="30.607" x2="39.8145" y2="30.5435" width="0.254" layer="1"/>
-<wire x1="39.8145" y1="30.5435" x2="40.64" y2="29.718" width="0.254" layer="1"/>
-<wire x1="39.751" y1="32.004" x2="39.751" y2="30.607" width="0.254" layer="1"/>
-<wire x1="39.878" y1="32.131" x2="39.751" y2="32.004" width="0.254" layer="1"/>
-<wire x1="42.291" y1="32.131" x2="39.878" y2="32.131" width="0.254" layer="1"/>
-<wire x1="42.291" y1="32.639" x2="42.291" y2="32.131" width="0.254" layer="1"/>
-<wire x1="42.672" y1="33.02" x2="42.291" y2="32.639" width="0.254" layer="1"/>
-<wire x1="44.02" y1="33.02" x2="42.672" y2="33.02" width="0.254" layer="1"/>
-<wire x1="40.64" y1="29.718" x2="40.64" y2="29.63" width="0.254" layer="1"/>
-<wire x1="44.196" y1="33.147" x2="44.196" y2="35.179" width="0.254" layer="1"/>
-<wire x1="44.069" y1="33.02" x2="44.196" y2="33.147" width="0.254" layer="1"/>
-<wire x1="44.196" y1="35.179" x2="44.255" y2="35.27" width="0.254" layer="1"/>
-<wire x1="44.069" y1="33.02" x2="44.02" y2="33.02" width="0.254" layer="1"/>
+<wire x1="44.831" y1="35.687" x2="44.831" y2="42.799" width="0.254" layer="16"/>
+<wire x1="44.069" y1="34.925" x2="44.831" y2="35.687" width="0.254" layer="1"/>
+<wire x1="44.069" y1="33.02" x2="44.069" y2="34.925" width="0.254" layer="1"/>
+<wire x1="44.831" y1="42.799" x2="44.89" y2="42.835" width="0.254" layer="16"/>
+<wire x1="43.942" y1="29.083" x2="42.291" y2="29.083" width="0.254" layer="1"/>
+<wire x1="42.291" y1="29.083" x2="41.275" y2="29.083" width="0.254" layer="1"/>
+<wire x1="43.942" y1="30.48" x2="43.942" y2="29.083" width="0.254" layer="1"/>
+<wire x1="41.275" y1="29.083" x2="41.275" y2="28.995" width="0.254" layer="1"/>
+<wire x1="43.942" y1="30.48" x2="44.02" y2="30.48" width="0.254" layer="1"/>
<wire x1="22.733" y1="23.749" x2="22.606" y2="23.622" width="0.254" layer="1"/>
<wire x1="22.733" y1="24.892" x2="22.733" y2="23.749" width="0.254" layer="1"/>
-<wire x1="28.321" y1="30.48" x2="22.733" y2="24.892" width="0.254" layer="16"/>
-<wire x1="39.751" y1="30.48" x2="28.321" y2="30.48" width="0.254" layer="16"/>
+<wire x1="27.051" y1="29.21" x2="22.733" y2="24.892" width="0.254" layer="16"/>
+<wire x1="42.291" y1="29.21" x2="27.051" y2="29.21" width="0.254" layer="16"/>
+<wire x1="42.291" y1="29.083" x2="42.291" y2="29.21" width="0.254" layer="1"/>
<wire x1="22.606" y1="23.622" x2="22.53" y2="23.58" width="0.254" layer="1"/>
-<wire x1="39.751" y1="30.48" x2="39.8145" y2="30.5435" width="0.254" layer="1"/>
-<wire x1="42.291" y1="32.131" x2="43.942" y2="30.48" width="0.254" layer="1"/>
-<wire x1="43.942" y1="30.48" x2="44.02" y2="30.48" width="0.254" layer="1"/>
+<wire x1="44.069" y1="30.48" x2="44.069" y2="33.02" width="0.254" layer="1"/>
+<wire x1="44.069" y1="33.02" x2="44.02" y2="33.02" width="0.254" layer="1"/>
+<wire x1="44.069" y1="30.48" x2="44.02" y2="30.48" width="0.254" layer="1"/>
+<via x="44.831" y="35.687" extent="1-16" drill="0.3048"/>
<via x="22.733" y="24.892" extent="1-16" drill="0.3048"/>
-<via x="39.751" y="30.48" extent="1-16" drill="0.3048"/>
+<via x="42.291" y="29.21" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$28">
<contactref element="U$2" pad="P$1"/>
@@ -2208,280 +2317,127 @@ design rules under a new name.</description>
<contactref element="D4" pad="1"/>
<contactref element="D3" pad="2"/>
<contactref element="U$1" pad="40"/>
-<wire x1="42.037" y1="31.242" x2="40.64" y2="31.242" width="0.254" layer="1"/>
-<wire x1="42.037" y1="26.924" x2="42.037" y2="31.242" width="0.254" layer="1"/>
-<wire x1="41.148" y1="26.035" x2="41.9735" y2="26.8605" width="0.254" layer="1"/>
-<wire x1="41.9735" y1="26.8605" x2="42.037" y2="26.924" width="0.254" layer="1"/>
-<wire x1="41.148" y1="25.4" x2="41.148" y2="26.035" width="0.254" layer="1"/>
-<wire x1="40.64" y1="31.242" x2="40.64" y2="31.33" width="0.254" layer="1"/>
-<wire x1="41.148" y1="25.4" x2="41.07" y2="25.4" width="0.254" layer="1"/>
-<wire x1="46.228" y1="34.671" x2="46.736" y2="35.179" width="0.254" layer="1"/>
-<wire x1="46.228" y1="34.544" x2="46.228" y2="34.671" width="0.254" layer="1"/>
-<wire x1="45.72" y1="34.036" x2="46.228" y2="34.544" width="0.254" layer="1"/>
-<wire x1="45.72" y1="31.877" x2="45.72" y2="34.036" width="0.254" layer="1"/>
-<wire x1="45.212" y1="31.369" x2="45.72" y2="31.877" width="0.254" layer="1"/>
-<wire x1="45.212" y1="29.972" x2="45.212" y2="31.369" width="0.254" layer="1"/>
-<wire x1="42.037" y1="26.797" x2="45.212" y2="29.972" width="0.254" layer="1"/>
-<wire x1="46.736" y1="35.179" x2="46.795" y2="35.27" width="0.254" layer="1"/>
-<wire x1="42.037" y1="26.797" x2="41.9735" y2="26.8605" width="0.254" layer="1"/>
+<wire x1="40.386" y1="29.718" x2="40.4495" y2="29.7815" width="0.254" layer="1"/>
+<wire x1="40.4495" y1="29.7815" x2="41.275" y2="30.607" width="0.254" layer="1"/>
+<wire x1="40.386" y1="28.321" x2="40.386" y2="29.718" width="0.254" layer="1"/>
+<wire x1="41.021" y1="27.686" x2="40.386" y2="28.321" width="0.254" layer="1"/>
+<wire x1="41.021" y1="25.4" x2="41.021" y2="27.686" width="0.254" layer="1"/>
+<wire x1="41.275" y1="30.607" x2="41.275" y2="30.695" width="0.254" layer="1"/>
+<wire x1="41.021" y1="25.4" x2="41.07" y2="25.4" width="0.254" layer="1"/>
+<wire x1="47.498" y1="35.433" x2="47.498" y2="42.799" width="0.254" layer="16"/>
+<wire x1="47.879" y1="35.433" x2="47.498" y2="35.433" width="0.254" layer="16"/>
+<wire x1="48.133" y1="35.179" x2="47.879" y2="35.433" width="0.254" layer="16"/>
+<wire x1="48.133" y1="29.845" x2="48.133" y2="35.179" width="0.254" layer="16"/>
+<wire x1="40.386" y1="29.845" x2="43.815" y2="29.845" width="0.254" layer="16"/>
+<wire x1="43.815" y1="29.845" x2="44.069" y2="29.845" width="0.254" layer="16"/>
+<wire x1="44.069" y1="29.845" x2="48.133" y2="29.845" width="0.254" layer="16"/>
+<wire x1="47.498" y1="42.799" x2="47.43" y2="42.835" width="0.254" layer="16"/>
+<wire x1="40.386" y1="29.845" x2="40.4495" y2="29.7815" width="0.254" layer="1"/>
<wire x1="23.241" y1="22.352" x2="23.241" y2="23.495" width="0.254" layer="1"/>
-<wire x1="25.146" y1="24.257" x2="23.241" y2="22.352" width="0.254" layer="16"/>
-<wire x1="40.513" y1="24.257" x2="25.146" y2="24.257" width="0.254" layer="16"/>
-<wire x1="40.64" y1="24.13" x2="40.513" y2="24.257" width="0.254" layer="16"/>
-<wire x1="41.021" y1="24.511" x2="40.64" y2="24.13" width="0.254" layer="1"/>
-<wire x1="41.021" y1="25.4" x2="41.021" y2="24.511" width="0.254" layer="1"/>
+<wire x1="29.464" y1="28.575" x2="23.241" y2="22.352" width="0.254" layer="16"/>
+<wire x1="42.545" y1="28.575" x2="29.464" y2="28.575" width="0.254" layer="16"/>
+<wire x1="43.815" y1="29.845" x2="42.545" y2="28.575" width="0.254" layer="16"/>
<wire x1="23.241" y1="23.495" x2="23.33" y2="23.58" width="0.254" layer="1"/>
-<wire x1="41.021" y1="25.4" x2="41.07" y2="25.4" width="0.254" layer="1"/>
-<wire x1="42.672" y1="22.86" x2="44.02" y2="22.86" width="0.254" layer="1"/>
-<wire x1="41.402" y1="24.13" x2="42.672" y2="22.86" width="0.254" layer="16"/>
-<wire x1="40.64" y1="24.13" x2="41.402" y2="24.13" width="0.254" layer="16"/>
+<wire x1="44.069" y1="23.749" x2="44.069" y2="22.86" width="0.254" layer="1"/>
+<wire x1="44.069" y1="29.845" x2="44.069" y2="23.749" width="0.254" layer="16"/>
+<wire x1="44.069" y1="22.86" x2="44.02" y2="22.86" width="0.254" layer="1"/>
+<via x="40.386" y="29.845" extent="1-16" drill="0.3048"/>
<via x="23.241" y="22.352" extent="1-16" drill="0.3048"/>
-<via x="40.64" y="24.13" extent="1-16" drill="0.3048"/>
-<via x="42.672" y="22.86" extent="1-16" drill="0.3048"/>
-</signal>
-<signal name="GNDT">
-<contactref element="D1" pad="2"/>
-<contactref element="D4" pad="2"/>
-<wire x1="45.72" y1="24.511" x2="47.371" y2="22.86" width="0.254" layer="1"/>
-<wire x1="45.72" y1="31.242" x2="45.72" y2="24.511" width="0.254" layer="1"/>
-<wire x1="47.371" y1="32.893" x2="45.72" y2="31.242" width="0.254" layer="1"/>
-<wire x1="47.371" y1="33.02" x2="47.371" y2="32.893" width="0.254" layer="1"/>
-<wire x1="47.371" y1="22.86" x2="47.42" y2="22.86" width="0.254" layer="1"/>
-<wire x1="47.371" y1="33.02" x2="47.42" y2="33.02" width="0.254" layer="1"/>
+<via x="44.069" y="23.749" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$25">
<contactref element="D5" pad="1"/>
<contactref element="D6" pad="2"/>
<contactref element="U$1" pad="37"/>
<contactref element="R4" pad="2"/>
-<wire x1="44.02" y1="20.32" x2="41.07" y2="20.32" width="0.254" layer="1"/>
-<wire x1="30.226" y1="22.987" x2="30.4165" y2="23.1775" width="0.254" layer="1"/>
-<wire x1="30.4165" y1="23.1775" x2="36.83" y2="29.591" width="0.254" layer="1"/>
-<wire x1="28.702" y1="22.987" x2="30.226" y2="22.987" width="0.254" layer="1"/>
-<wire x1="28.702" y1="22.733" x2="28.702" y2="22.987" width="0.254" layer="1"/>
-<wire x1="27.686" y1="21.717" x2="28.702" y2="22.733" width="0.254" layer="1"/>
-<wire x1="25.908" y1="21.717" x2="27.686" y2="21.717" width="0.254" layer="1"/>
-<wire x1="25.908" y1="22.733" x2="25.908" y2="21.717" width="0.254" layer="1"/>
-<wire x1="25.781" y1="22.86" x2="25.908" y2="22.733" width="0.254" layer="1"/>
-<wire x1="25.781" y1="23.495" x2="25.781" y2="22.86" width="0.254" layer="1"/>
-<wire x1="36.83" y1="29.591" x2="36.83" y2="29.63" width="0.254" layer="1"/>
-<wire x1="25.781" y1="23.495" x2="25.73" y2="23.58" width="0.254" layer="1"/>
-<wire x1="39.751" y1="20.32" x2="41.07" y2="20.32" width="0.254" layer="1"/>
-<wire x1="37.084" y1="22.987" x2="39.751" y2="20.32" width="0.254" layer="16"/>
-<wire x1="30.607" y1="22.987" x2="37.084" y2="22.987" width="0.254" layer="16"/>
-<wire x1="30.4165" y1="23.1775" x2="30.607" y2="22.987" width="0.254" layer="1"/>
-<via x="39.751" y="20.32" extent="1-16" drill="0.3048"/>
-<via x="30.607" y="22.987" extent="1-16" drill="0.3048"/>
+<wire x1="39.37" y1="25.781" x2="37.2745" y2="27.8765" width="0.254" layer="1"/>
+<wire x1="37.2745" y1="27.8765" x2="36.195" y2="28.956" width="0.254" layer="1"/>
+<wire x1="39.37" y1="22.098" x2="39.37" y2="25.781" width="0.254" layer="1"/>
+<wire x1="41.021" y1="20.447" x2="39.37" y2="22.098" width="0.254" layer="1"/>
+<wire x1="41.021" y1="20.32" x2="41.021" y2="20.447" width="0.254" layer="1"/>
+<wire x1="36.195" y1="28.956" x2="36.195" y2="28.995" width="0.254" layer="1"/>
+<wire x1="41.021" y1="20.32" x2="41.07" y2="20.32" width="0.254" layer="1"/>
+<wire x1="25.908" y1="23.749" x2="25.781" y2="23.622" width="0.254" layer="1"/>
+<wire x1="25.908" y1="24.384" x2="25.908" y2="23.749" width="0.254" layer="1"/>
+<wire x1="26.543" y1="25.019" x2="25.908" y2="24.384" width="0.254" layer="1"/>
+<wire x1="26.543" y1="25.908" x2="26.543" y2="25.019" width="0.254" layer="1"/>
+<wire x1="27.305" y1="26.67" x2="26.543" y2="25.908" width="0.254" layer="1"/>
+<wire x1="37.211" y1="26.67" x2="27.305" y2="26.67" width="0.254" layer="1"/>
+<wire x1="37.211" y1="27.813" x2="37.211" y2="26.67" width="0.254" layer="1"/>
+<wire x1="25.781" y1="23.622" x2="25.73" y2="23.58" width="0.254" layer="1"/>
+<wire x1="37.211" y1="27.813" x2="37.2745" y2="27.8765" width="0.254" layer="1"/>
+<wire x1="41.07" y1="20.32" x2="44.02" y2="20.32" width="0.254" layer="1"/>
</signal>
<signal name="N$30">
<contactref element="D8" pad="1"/>
<contactref element="D7" pad="2"/>
<contactref element="U$1" pad="36"/>
<contactref element="R5" pad="2"/>
-<wire x1="39.116" y1="29.21" x2="38.735" y2="29.591" width="0.254" layer="1"/>
-<wire x1="39.116" y1="26.416" x2="39.116" y2="29.21" width="0.254" layer="1"/>
-<wire x1="39.37" y1="26.162" x2="39.116" y2="26.416" width="0.254" layer="1"/>
-<wire x1="39.37" y1="24.511" x2="39.37" y2="26.162" width="0.254" layer="1"/>
-<wire x1="41.021" y1="22.86" x2="40.0685" y2="23.8125" width="0.254" layer="1"/>
-<wire x1="40.0685" y1="23.8125" x2="39.37" y2="24.511" width="0.254" layer="1"/>
-<wire x1="38.735" y1="29.591" x2="38.735" y2="29.63" width="0.254" layer="1"/>
+<wire x1="39.878" y1="27.813" x2="38.735" y2="28.956" width="0.254" layer="1"/>
+<wire x1="39.878" y1="24.892" x2="39.878" y2="27.813" width="0.254" layer="1"/>
+<wire x1="41.021" y1="23.749" x2="40.7035" y2="24.0665" width="0.254" layer="1"/>
+<wire x1="40.7035" y1="24.0665" x2="40.4495" y2="24.3205" width="0.254" layer="1"/>
+<wire x1="40.4495" y1="24.3205" x2="39.878" y2="24.892" width="0.254" layer="1"/>
+<wire x1="41.021" y1="22.86" x2="41.021" y2="23.749" width="0.254" layer="1"/>
+<wire x1="38.735" y1="28.956" x2="38.735" y2="28.995" width="0.254" layer="1"/>
<wire x1="41.021" y1="22.86" x2="41.07" y2="22.86" width="0.254" layer="1"/>
<wire x1="26.797" y1="23.241" x2="26.543" y2="23.495" width="0.254" layer="1"/>
<wire x1="26.797" y1="22.352" x2="26.797" y2="23.241" width="0.254" layer="1"/>
-<wire x1="28.067" y1="23.622" x2="26.797" y2="22.352" width="0.254" layer="16"/>
-<wire x1="39.878" y1="23.622" x2="28.067" y2="23.622" width="0.254" layer="16"/>
-<wire x1="40.0685" y1="23.8125" x2="39.878" y2="23.622" width="0.254" layer="1"/>
+<wire x1="28.575" y1="24.13" x2="26.797" y2="22.352" width="0.254" layer="16"/>
+<wire x1="40.259" y1="24.13" x2="28.575" y2="24.13" width="0.254" layer="16"/>
+<wire x1="40.4495" y1="24.3205" x2="40.259" y2="24.13" width="0.254" layer="1"/>
<wire x1="26.543" y1="23.495" x2="26.53" y2="23.58" width="0.254" layer="1"/>
-<wire x1="41.402" y1="22.86" x2="43.942" y2="25.4" width="0.254" layer="1"/>
-<wire x1="41.07" y1="22.86" x2="41.402" y2="22.86" width="0.254" layer="1"/>
+<wire x1="42.672" y1="24.13" x2="43.942" y2="25.4" width="0.254" layer="1"/>
+<wire x1="40.767" y1="24.13" x2="42.672" y2="24.13" width="0.254" layer="1"/>
<wire x1="43.942" y1="25.4" x2="44.02" y2="25.4" width="0.254" layer="1"/>
+<wire x1="40.767" y1="24.13" x2="40.7035" y2="24.0665" width="0.254" layer="1"/>
<via x="26.797" y="22.352" extent="1-16" drill="0.3048"/>
-<via x="39.878" y="23.622" extent="1-16" drill="0.3048"/>
+<via x="40.259" y="24.13" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$29">
<contactref element="U$2" pad="P$8"/>
<contactref element="R4" pad="1"/>
<contactref element="C5" pad="1"/>
-<wire x1="36.957" y1="31.496" x2="36.83" y2="31.369" width="0.254" layer="1"/>
-<wire x1="36.957" y1="32.258" x2="36.957" y2="31.496" width="0.254" layer="1"/>
-<wire x1="37.719" y1="33.02" x2="36.957" y2="32.258" width="0.254" layer="1"/>
-<wire x1="37.846" y1="33.02" x2="37.719" y2="33.02" width="0.254" layer="1"/>
-<wire x1="36.83" y1="31.369" x2="36.83" y2="31.33" width="0.254" layer="1"/>
-<wire x1="37.846" y1="33.02" x2="37.846" y2="35.179" width="0.254" layer="1"/>
-<wire x1="37.846" y1="35.179" x2="37.905" y2="35.27" width="0.254" layer="1"/>
+<wire x1="37.846" y1="32.385" x2="36.195" y2="30.734" width="0.254" layer="1"/>
+<wire x1="37.846" y1="33.02" x2="37.846" y2="32.385" width="0.254" layer="1"/>
+<wire x1="36.195" y1="30.734" x2="36.195" y2="30.695" width="0.254" layer="1"/>
<wire x1="37.846" y1="33.02" x2="37.885" y2="33.02" width="0.254" layer="1"/>
+<wire x1="38.481" y1="36.195" x2="38.481" y2="42.799" width="0.254" layer="16"/>
+<wire x1="37.973" y1="35.687" x2="38.481" y2="36.195" width="0.254" layer="1"/>
+<wire x1="37.973" y1="33.02" x2="37.973" y2="35.687" width="0.254" layer="1"/>
+<wire x1="38.481" y1="42.799" x2="38.54" y2="42.835" width="0.254" layer="16"/>
+<wire x1="37.973" y1="33.02" x2="37.885" y2="33.02" width="0.254" layer="1"/>
+<via x="38.481" y="36.195" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$31">
<contactref element="R5" pad="1"/>
<contactref element="U$2" pad="P$6"/>
<contactref element="C5" pad="2"/>
-<wire x1="38.862" y1="31.496" x2="38.735" y2="31.369" width="0.254" layer="1"/>
-<wire x1="38.862" y1="32.385" x2="38.862" y2="31.496" width="0.254" layer="1"/>
-<wire x1="39.497" y1="33.02" x2="38.862" y2="32.385" width="0.254" layer="1"/>
-<wire x1="38.735" y1="31.369" x2="38.735" y2="31.33" width="0.254" layer="1"/>
+<wire x1="39.497" y1="31.496" x2="38.735" y2="30.734" width="0.254" layer="1"/>
+<wire x1="39.497" y1="33.02" x2="39.497" y2="31.496" width="0.254" layer="1"/>
+<wire x1="38.735" y1="30.734" x2="38.735" y2="30.695" width="0.254" layer="1"/>
<wire x1="39.497" y1="33.02" x2="39.585" y2="33.02" width="0.254" layer="1"/>
-<wire x1="40.386" y1="33.909" x2="40.386" y2="35.179" width="0.254" layer="1"/>
-<wire x1="39.624" y1="33.147" x2="40.386" y2="33.909" width="0.254" layer="1"/>
-<wire x1="39.624" y1="33.02" x2="39.624" y2="33.147" width="0.254" layer="1"/>
-<wire x1="40.386" y1="35.179" x2="40.445" y2="35.27" width="0.254" layer="1"/>
+<wire x1="41.021" y1="36.195" x2="41.021" y2="42.799" width="0.254" layer="16"/>
+<wire x1="39.624" y1="34.798" x2="41.021" y2="36.195" width="0.254" layer="1"/>
+<wire x1="39.624" y1="33.02" x2="39.624" y2="34.798" width="0.254" layer="1"/>
+<wire x1="41.021" y1="42.799" x2="41.08" y2="42.835" width="0.254" layer="16"/>
<wire x1="39.624" y1="33.02" x2="39.585" y2="33.02" width="0.254" layer="1"/>
-</signal>
-<signal name="T+A">
-<contactref element="J1" pad="1"/>
-<contactref element="JP4" pad="3"/>
-<wire x1="16.383" y1="48.768" x2="12.7" y2="45.085" width="0.254" layer="16"/>
-<wire x1="24.511" y1="48.768" x2="16.383" y2="48.768" width="0.254" layer="16"/>
-<wire x1="25.654" y1="47.625" x2="24.511" y2="48.768" width="0.254" layer="16"/>
-<wire x1="25.654" y1="44.958" x2="25.654" y2="47.625" width="0.254" layer="16"/>
-<wire x1="27.432" y1="44.958" x2="25.654" y2="44.958" width="0.254" layer="16"/>
-<wire x1="29.21" y1="43.18" x2="27.432" y2="44.958" width="0.254" layer="16"/>
-</signal>
-<signal name="T-B">
-<contactref element="J1" pad="6"/>
-<contactref element="JP3" pad="3"/>
-<wire x1="16.764" y1="40.259" x2="15.24" y2="38.735" width="0.254" layer="16"/>
-<wire x1="16.764" y1="42.291" x2="16.764" y2="40.259" width="0.254" layer="16"/>
-<wire x1="13.843" y1="42.291" x2="16.764" y2="42.291" width="0.254" layer="16"/>
-<wire x1="13.081" y1="41.529" x2="13.843" y2="42.291" width="0.254" layer="16"/>
-<wire x1="12.319" y1="41.529" x2="13.081" y2="41.529" width="0.254" layer="16"/>
-<wire x1="11.684" y1="42.164" x2="12.319" y2="41.529" width="0.254" layer="16"/>
-<wire x1="11.684" y1="49.276" x2="11.684" y2="42.164" width="0.254" layer="16"/>
-<wire x1="26.924" y1="49.276" x2="11.684" y2="49.276" width="0.254" layer="16"/>
-<wire x1="29.21" y1="46.99" x2="26.924" y2="49.276" width="0.254" layer="16"/>
-</signal>
-<signal name="T+B">
-<contactref element="J1" pad="3"/>
-<contactref element="JP4" pad="1"/>
-<wire x1="12.954" y1="42.799" x2="12.7" y2="42.545" width="0.254" layer="16"/>
-<wire x1="23.749" y1="42.799" x2="12.954" y2="42.799" width="0.254" layer="16"/>
-<wire x1="24.13" y1="43.18" x2="23.749" y2="42.799" width="0.254" layer="16"/>
-</signal>
-<signal name="T-A">
-<contactref element="J1" pad="2"/>
-<contactref element="JP3" pad="1"/>
-<wire x1="16.002" y1="44.577" x2="15.24" y2="43.815" width="0.254" layer="16"/>
-<wire x1="21.717" y1="44.577" x2="16.002" y2="44.577" width="0.254" layer="16"/>
-<wire x1="24.13" y1="46.99" x2="21.717" y2="44.577" width="0.254" layer="16"/>
-</signal>
-<signal name="N$36">
-<contactref element="JP4" pad="2"/>
-<contactref element="JP5" pad="2"/>
-<wire x1="23.622" y1="37.338" x2="25.4" y2="35.56" width="0.254" layer="16"/>
-<wire x1="23.622" y1="41.402" x2="23.622" y2="37.338" width="0.254" layer="16"/>
-<wire x1="24.892" y1="41.402" x2="23.622" y2="41.402" width="0.254" layer="16"/>
-<wire x1="26.67" y1="43.18" x2="24.892" y2="41.402" width="0.254" layer="16"/>
-</signal>
-<signal name="N$37">
-<contactref element="JP3" pad="2"/>
-<contactref element="JP6" pad="2"/>
-<wire x1="20.32" y1="35.56" x2="21.59" y2="35.56" width="0.254" layer="1"/>
-<wire x1="20.32" y1="35.941" x2="20.32" y2="35.56" width="0.254" layer="1"/>
-<wire x1="19.304" y1="36.957" x2="20.32" y2="35.941" width="0.254" layer="1"/>
-<wire x1="19.304" y1="40.513" x2="19.304" y2="36.957" width="0.254" layer="1"/>
-<wire x1="24.003" y1="45.212" x2="19.304" y2="40.513" width="0.254" layer="1"/>
-<wire x1="26.035" y1="45.212" x2="24.003" y2="45.212" width="0.254" layer="1"/>
-<wire x1="26.035" y1="45.847" x2="26.035" y2="45.212" width="0.254" layer="1"/>
-<wire x1="26.67" y1="46.482" x2="26.035" y2="45.847" width="0.254" layer="1"/>
-<wire x1="26.67" y1="46.99" x2="26.67" y2="46.482" width="0.254" layer="1"/>
-</signal>
-<signal name="R-">
-<contactref element="J1" pad="5"/>
-<contactref element="JP7" pad="2"/>
-<wire x1="14.224" y1="38.481" x2="12.7" y2="40.005" width="0.254" layer="16"/>
-<wire x1="14.224" y1="34.544" x2="14.224" y2="38.481" width="0.254" layer="16"/>
-<wire x1="22.987" y1="34.544" x2="14.224" y2="34.544" width="0.254" layer="16"/>
-<wire x1="27.686" y1="34.544" x2="22.987" y2="34.544" width="0.254" layer="1"/>
-<wire x1="27.686" y1="34.671" x2="27.686" y2="34.544" width="0.254" layer="1"/>
-<wire x1="28.575" y1="35.56" x2="27.686" y2="34.671" width="0.254" layer="1"/>
-<wire x1="29.21" y1="35.56" x2="28.575" y2="35.56" width="0.254" layer="1"/>
-<via x="22.987" y="34.544" extent="1-16" drill="0.3048"/>
-</signal>
-<signal name="R+">
-<contactref element="J1" pad="4"/>
-<contactref element="JP8" pad="2"/>
-<wire x1="14.986" y1="41.021" x2="15.24" y2="41.275" width="0.254" layer="16"/>
-<wire x1="12.319" y1="41.021" x2="14.986" y2="41.021" width="0.254" layer="16"/>
-<wire x1="11.684" y1="40.386" x2="12.319" y2="41.021" width="0.254" layer="16"/>
-<wire x1="11.684" y1="31.242" x2="11.684" y2="40.386" width="0.254" layer="16"/>
-<wire x1="33.401" y1="31.242" x2="11.684" y2="31.242" width="0.254" layer="16"/>
-<wire x1="34.798" y1="32.639" x2="33.401" y2="31.242" width="0.254" layer="16"/>
-<wire x1="34.798" y1="34.163" x2="34.798" y2="32.639" width="0.254" layer="16"/>
-<wire x1="34.671" y1="34.163" x2="34.798" y2="34.163" width="0.254" layer="16"/>
-<wire x1="33.274" y1="35.56" x2="34.671" y2="34.163" width="0.254" layer="1"/>
-<wire x1="33.02" y1="35.56" x2="33.274" y2="35.56" width="0.254" layer="1"/>
-<via x="34.671" y="34.163" extent="1-16" drill="0.3048"/>
+<via x="41.021" y="36.195" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$40">
-<contactref element="JP6" pad="3"/>
-<contactref element="JP8" pad="1"/>
<contactref element="U$2" pad="P$14"/>
-<wire x1="43.688" y1="43.053" x2="44.196" y2="43.561" width="0.254" layer="1"/>
-<wire x1="43.688" y1="42.926" x2="43.688" y2="43.053" width="0.254" layer="1"/>
-<wire x1="35.306" y1="34.544" x2="43.688" y2="42.926" width="0.254" layer="1"/>
-<wire x1="35.306" y1="33.909" x2="35.306" y2="34.544" width="0.254" layer="1"/>
-<wire x1="34.417" y1="33.02" x2="35.306" y2="33.909" width="0.254" layer="1"/>
-<wire x1="33.02" y1="33.02" x2="34.417" y2="33.02" width="0.254" layer="1"/>
-<wire x1="44.196" y1="43.561" x2="44.255" y2="43.6" width="0.254" layer="1"/>
-<wire x1="23.622" y1="36.068" x2="21.59" y2="38.1" width="0.254" layer="16"/>
-<wire x1="23.622" y1="31.75" x2="23.622" y2="36.068" width="0.254" layer="16"/>
-<wire x1="31.75" y1="31.75" x2="23.622" y2="31.75" width="0.254" layer="16"/>
-<wire x1="33.02" y1="33.02" x2="31.75" y2="31.75" width="0.254" layer="16"/>
-</signal>
-<signal name="N$42">
-<contactref element="JP8" pad="3"/>
-<contactref element="JP6" pad="1"/>
-<contactref element="U$2" pad="P$9"/>
-<wire x1="33.02" y1="38.735" x2="37.846" y2="43.561" width="0.254" layer="1"/>
-<wire x1="33.02" y1="38.1" x2="33.02" y2="38.735" width="0.254" layer="1"/>
-<wire x1="37.846" y1="43.561" x2="37.905" y2="43.6" width="0.254" layer="1"/>
-<wire x1="22.86" y1="33.02" x2="21.59" y2="33.02" width="0.254" layer="1"/>
-<wire x1="22.86" y1="32.639" x2="22.86" y2="33.02" width="0.254" layer="1"/>
-<wire x1="24.13" y1="31.369" x2="22.86" y2="32.639" width="0.254" layer="1"/>
-<wire x1="27.305" y1="31.369" x2="24.13" y2="31.369" width="0.254" layer="1"/>
-<wire x1="27.305" y1="32.385" x2="27.305" y2="31.369" width="0.254" layer="1"/>
-<wire x1="27.305" y1="34.29" x2="27.305" y2="32.385" width="0.254" layer="16"/>
-<wire x1="30.099" y1="34.29" x2="27.305" y2="34.29" width="0.254" layer="16"/>
-<wire x1="31.242" y1="35.433" x2="30.099" y2="34.29" width="0.254" layer="16"/>
-<wire x1="31.242" y1="38.1" x2="31.242" y2="35.433" width="0.254" layer="16"/>
-<wire x1="33.02" y1="38.1" x2="31.242" y2="38.1" width="0.254" layer="16"/>
-<via x="27.305" y="32.385" extent="1-16" drill="0.3048"/>
-</signal>
-<signal name="N$32">
-<contactref element="JP7" pad="3"/>
-<contactref element="JP5" pad="1"/>
-<contactref element="U$2" pad="P$16"/>
-<wire x1="46.482" y1="43.307" x2="46.736" y2="43.561" width="0.254" layer="1"/>
-<wire x1="46.482" y1="42.418" x2="46.482" y2="43.307" width="0.254" layer="1"/>
-<wire x1="43.18" y1="39.116" x2="46.482" y2="42.418" width="0.254" layer="1"/>
-<wire x1="30.226" y1="39.116" x2="43.18" y2="39.116" width="0.254" layer="16"/>
-<wire x1="29.21" y1="38.1" x2="30.226" y2="39.116" width="0.254" layer="16"/>
-<wire x1="46.736" y1="43.561" x2="46.795" y2="43.6" width="0.254" layer="1"/>
-<wire x1="27.432" y1="35.052" x2="25.4" y2="33.02" width="0.254" layer="16"/>
-<wire x1="27.432" y1="38.1" x2="27.432" y2="35.052" width="0.254" layer="16"/>
-<wire x1="29.21" y1="38.1" x2="27.432" y2="38.1" width="0.254" layer="16"/>
-<via x="43.18" y="39.116" extent="1-16" drill="0.3048"/>
-</signal>
-<signal name="N$33">
-<contactref element="JP7" pad="1"/>
-<contactref element="JP5" pad="3"/>
-<contactref element="U$2" pad="P$11"/>
-<wire x1="40.386" y1="42.418" x2="40.386" y2="43.561" width="0.254" layer="1"/>
-<wire x1="37.592" y1="39.624" x2="37.7825" y2="39.8145" width="0.254" layer="16"/>
-<wire x1="37.7825" y1="39.8145" x2="40.386" y2="42.418" width="0.254" layer="16"/>
-<wire x1="26.924" y1="39.624" x2="37.592" y2="39.624" width="0.254" layer="16"/>
-<wire x1="25.4" y1="38.1" x2="26.924" y2="39.624" width="0.254" layer="16"/>
-<wire x1="40.386" y1="43.561" x2="40.445" y2="43.6" width="0.254" layer="1"/>
-<wire x1="29.972" y1="33.782" x2="29.21" y2="33.02" width="0.254" layer="16"/>
-<wire x1="31.623" y1="33.782" x2="29.972" y2="33.782" width="0.254" layer="16"/>
-<wire x1="32.385" y1="34.544" x2="31.623" y2="33.782" width="0.254" layer="16"/>
-<wire x1="34.163" y1="34.544" x2="32.385" y2="34.544" width="0.254" layer="16"/>
-<wire x1="38.1" y1="38.481" x2="34.163" y2="34.544" width="0.254" layer="16"/>
-<wire x1="43.815" y1="38.481" x2="38.1" y2="38.481" width="0.254" layer="16"/>
-<wire x1="44.196" y1="38.862" x2="43.815" y2="38.481" width="0.254" layer="16"/>
-<wire x1="44.196" y1="39.751" x2="44.196" y2="38.862" width="0.254" layer="16"/>
-<wire x1="37.846" y1="39.751" x2="44.196" y2="39.751" width="0.254" layer="16"/>
-<wire x1="37.846" y1="39.751" x2="37.7825" y2="39.8145" width="0.254" layer="16"/>
-<via x="40.386" y="42.418" extent="1-16" drill="0.3048"/>
+<contactref element="J2" pad="1-5"/>
+<contactref element="J2" pad="2-2"/>
+<wire x1="44.831" y1="33.528" x2="44.831" y2="34.417" width="0.254" layer="16"/>
+<wire x1="28.194" y1="33.528" x2="44.831" y2="33.528" width="0.254" layer="16"/>
+<wire x1="27.178" y1="34.544" x2="28.194" y2="33.528" width="0.254" layer="16"/>
+<wire x1="24.511" y1="34.544" x2="27.178" y2="34.544" width="0.254" layer="16"/>
+<wire x1="23.495" y1="35.56" x2="24.4475" y2="34.6075" width="0.254" layer="16"/>
+<wire x1="24.4475" y1="34.6075" x2="24.511" y2="34.544" width="0.254" layer="16"/>
+<wire x1="44.831" y1="34.417" x2="44.89" y2="34.505" width="0.254" layer="16"/>
+<wire x1="14.859" y1="34.544" x2="13.335" y2="33.02" width="0.254" layer="16"/>
+<wire x1="24.384" y1="34.544" x2="14.859" y2="34.544" width="0.254" layer="16"/>
+<wire x1="24.384" y1="34.544" x2="24.4475" y2="34.6075" width="0.254" layer="16"/>
</signal>
<signal name="N$19">
<contactref element="U$1" pad="20"/>
@@ -2511,12 +2467,13 @@ design rules under a new name.</description>
<signal name="N$22">
<contactref element="U$1" pad="24"/>
<contactref element="JP1" pad="9"/>
-<wire x1="28.702" y1="14.478" x2="29.845" y2="14.478" width="0.254" layer="1"/>
-<wire x1="31.877" y1="11.303" x2="28.702" y2="14.478" width="0.254" layer="16"/>
-<wire x1="31.877" y1="3.81" x2="31.877" y2="11.303" width="0.254" layer="16"/>
-<wire x1="33.02" y1="3.81" x2="31.877" y2="3.81" width="0.254" layer="16"/>
-<wire x1="29.845" y1="14.478" x2="29.93" y2="14.58" width="0.254" layer="1"/>
-<via x="28.702" y="14.478" extent="1-16" drill="0.3048"/>
+<wire x1="30.861" y1="14.478" x2="29.972" y2="14.478" width="0.254" layer="1"/>
+<wire x1="31.623" y1="13.716" x2="30.861" y2="14.478" width="0.254" layer="1"/>
+<wire x1="31.623" y1="12.954" x2="31.623" y2="13.716" width="0.254" layer="1"/>
+<wire x1="31.623" y1="3.81" x2="31.623" y2="12.954" width="0.254" layer="16"/>
+<wire x1="33.02" y1="3.81" x2="31.623" y2="3.81" width="0.254" layer="16"/>
+<wire x1="29.972" y1="14.478" x2="29.93" y2="14.58" width="0.254" layer="1"/>
+<via x="31.623" y="12.954" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$18">
<polygon width="0.4064" layer="1">
@@ -2529,23 +2486,7 @@ design rules under a new name.</description>
<contactref element="U$1" pad="9"/>
<contactref element="U$3" pad="P$3"/>
<contactref element="JP10" pad="1"/>
-<wire x1="12.065" y1="17.78" x2="18.33" y2="15.38" width="0" layer="19" extent="1-1"/>
-</signal>
-<signal name="N$24">
-<contactref element="U$3" pad="P$4"/>
-<contactref element="C6" pad="1"/>
-<contactref element="U$4" pad="P$4"/>
-<contactref element="C7" pad="2"/>
-<wire x1="10.16" y1="13.12" x2="10.16" y2="11.01" width="0.254" layer="1"/>
-<wire x1="9.017" y1="10.922" x2="10.16" y2="10.922" width="0.254" layer="1"/>
-<wire x1="8.763" y1="10.668" x2="9.017" y2="10.922" width="0.254" layer="1"/>
-<wire x1="2.54" y1="10.668" x2="8.763" y2="10.668" width="0.254" layer="1"/>
-<wire x1="2.54" y1="12.446" x2="2.54" y2="10.668" width="0.254" layer="1"/>
-<wire x1="10.16" y1="10.922" x2="10.16" y2="11.01" width="0.254" layer="1"/>
-<wire x1="4.318" y1="17.272" x2="2.54" y2="19.05" width="0.254" layer="1"/>
-<wire x1="4.318" y1="14.224" x2="4.318" y2="17.272" width="0.254" layer="1"/>
-<wire x1="2.54" y1="12.446" x2="4.318" y2="14.224" width="0.254" layer="1"/>
-<wire x1="2.54" y1="12.446" x2="2.54" y2="12.7" width="0.254" layer="1"/>
+<wire x1="18.33" y1="15.38" x2="12.065" y2="17.78" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="N$35">
<contactref element="U$3" pad="P$1"/>
@@ -2560,11 +2501,11 @@ design rules under a new name.</description>
<signal name="N$38">
<contactref element="U$4" pad="P$1"/>
<contactref element="JP9" pad="1"/>
-<wire x1="1.143" y1="14.732" x2="2.54" y2="14.732" width="0.254" layer="1"/>
-<wire x1="1.143" y1="5.08" x2="1.143" y2="14.732" width="0.254" layer="16"/>
+<wire x1="1.143" y1="15.367" x2="2.54" y2="15.367" width="0.254" layer="1"/>
+<wire x1="1.143" y1="5.08" x2="1.143" y2="15.367" width="0.254" layer="16"/>
<wire x1="3.81" y1="5.08" x2="1.143" y2="5.08" width="0.254" layer="16"/>
-<wire x1="2.54" y1="14.732" x2="2.54" y2="14.85" width="0.254" layer="1"/>
-<via x="1.143" y="14.732" extent="1-16" drill="0.3048"/>
+<wire x1="2.54" y1="15.367" x2="2.54" y2="15.485" width="0.254" layer="1"/>
+<via x="1.143" y="15.367" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$6">
<contactref element="U$5" pad="1"/>
@@ -2627,24 +2568,27 @@ design rules under a new name.</description>
<signal name="N$13">
<contactref element="U$5" pad="7"/>
<contactref element="U$1" pad="27"/>
-<wire x1="34.163" y1="17.653" x2="35.052" y2="17.653" width="0.254" layer="1"/>
-<wire x1="31.242" y1="17.653" x2="34.163" y2="17.653" width="0.254" layer="16"/>
-<wire x1="30.607" y1="17.018" x2="31.242" y2="17.653" width="0.254" layer="1"/>
-<wire x1="29.972" y1="17.018" x2="30.607" y2="17.018" width="0.254" layer="1"/>
+<wire x1="34.544" y1="17.145" x2="35.052" y2="17.653" width="0.254" layer="1"/>
+<wire x1="34.29" y1="17.145" x2="34.544" y2="17.145" width="0.254" layer="1"/>
+<wire x1="34.163" y1="17.018" x2="34.29" y2="17.145" width="0.254" layer="16"/>
+<wire x1="31.242" y1="17.018" x2="34.163" y2="17.018" width="0.254" layer="16"/>
+<wire x1="31.115" y1="16.891" x2="31.242" y2="17.018" width="0.254" layer="1"/>
+<wire x1="29.972" y1="16.891" x2="31.115" y2="16.891" width="0.254" layer="1"/>
<wire x1="35.052" y1="17.653" x2="35.105" y2="17.75" width="0.254" layer="1"/>
-<wire x1="29.972" y1="17.018" x2="29.93" y2="16.98" width="0.254" layer="1"/>
-<via x="34.163" y="17.653" extent="1-16" drill="0.3048"/>
-<via x="31.242" y="17.653" extent="1-16" drill="0.3048"/>
+<wire x1="29.972" y1="16.891" x2="29.93" y2="16.98" width="0.254" layer="1"/>
+<via x="34.29" y="17.145" extent="1-16" drill="0.3048"/>
+<via x="31.242" y="17.018" extent="1-16" drill="0.3048"/>
</signal>
<signal name="N$14">
<contactref element="U$5" pad="6"/>
<contactref element="U$1" pad="26"/>
<wire x1="33.528" y1="16.256" x2="35.052" y2="16.256" width="0.254" layer="1"/>
<wire x1="33.528" y1="15.621" x2="33.528" y2="16.256" width="0.254" layer="1"/>
-<wire x1="32.893" y1="14.986" x2="33.528" y2="15.621" width="0.254" layer="1"/>
-<wire x1="31.623" y1="14.986" x2="32.893" y2="14.986" width="0.254" layer="1"/>
-<wire x1="31.623" y1="15.113" x2="31.623" y2="14.986" width="0.254" layer="1"/>
-<wire x1="30.607" y1="16.129" x2="31.623" y2="15.113" width="0.254" layer="1"/>
+<wire x1="32.639" y1="14.732" x2="33.528" y2="15.621" width="0.254" layer="1"/>
+<wire x1="31.877" y1="14.732" x2="32.639" y2="14.732" width="0.254" layer="1"/>
+<wire x1="31.242" y1="15.367" x2="31.877" y2="14.732" width="0.254" layer="1"/>
+<wire x1="31.242" y1="15.494" x2="31.242" y2="15.367" width="0.254" layer="1"/>
+<wire x1="30.607" y1="16.129" x2="31.242" y2="15.494" width="0.254" layer="1"/>
<wire x1="29.972" y1="16.129" x2="30.607" y2="16.129" width="0.254" layer="1"/>
<wire x1="35.052" y1="16.256" x2="35.105" y2="16.35" width="0.254" layer="1"/>
<wire x1="29.972" y1="16.129" x2="29.93" y2="16.18" width="0.254" layer="1"/>
@@ -2658,6 +2602,84 @@ design rules under a new name.</description>
<wire x1="33.02" y1="6.35" x2="33.02" y2="12.954" width="0.254" layer="1"/>
<wire x1="29.972" y1="15.367" x2="29.93" y2="15.38" width="0.254" layer="1"/>
</signal>
+<signal name="N$34">
+<contactref element="J2" pad="2-1"/>
+<contactref element="U$2" pad="P$16"/>
+<contactref element="J2" pad="1-4"/>
+<wire x1="47.371" y1="32.004" x2="47.371" y2="34.417" width="0.254" layer="16"/>
+<wire x1="25.781" y1="32.004" x2="47.371" y2="32.004" width="0.254" layer="16"/>
+<wire x1="24.765" y1="33.02" x2="25.7175" y2="32.0675" width="0.254" layer="16"/>
+<wire x1="25.7175" y1="32.0675" x2="25.781" y2="32.004" width="0.254" layer="16"/>
+<wire x1="47.371" y1="34.417" x2="47.43" y2="34.505" width="0.254" layer="16"/>
+<wire x1="13.081" y1="34.036" x2="14.605" y2="35.56" width="0.254" layer="16"/>
+<wire x1="12.954" y1="34.036" x2="13.081" y2="34.036" width="0.254" layer="16"/>
+<wire x1="12.319" y1="33.401" x2="12.954" y2="34.036" width="0.254" layer="16"/>
+<wire x1="12.319" y1="32.004" x2="12.319" y2="33.401" width="0.254" layer="16"/>
+<wire x1="25.654" y1="32.004" x2="12.319" y2="32.004" width="0.254" layer="16"/>
+<wire x1="25.654" y1="32.004" x2="25.7175" y2="32.0675" width="0.254" layer="16"/>
+</signal>
+<signal name="N$32">
+<contactref element="J2" pad="1-2"/>
+<contactref element="U$2" pad="P$9"/>
+<contactref element="J2" pad="2-5"/>
+<wire x1="28.194" y1="34.544" x2="28.448" y2="34.544" width="0.254" layer="16"/>
+<wire x1="28.448" y1="34.544" x2="38.481" y2="34.544" width="0.254" layer="16"/>
+<wire x1="27.051" y1="35.687" x2="28.194" y2="34.544" width="0.254" layer="16"/>
+<wire x1="27.051" y1="36.576" x2="27.051" y2="35.687" width="0.254" layer="16"/>
+<wire x1="10.541" y1="36.576" x2="27.051" y2="36.576" width="0.254" layer="16"/>
+<wire x1="9.525" y1="35.56" x2="10.541" y2="36.576" width="0.254" layer="16"/>
+<wire x1="38.481" y1="34.544" x2="38.54" y2="34.505" width="0.254" layer="16"/>
+<wire x1="28.448" y1="34.163" x2="27.305" y2="33.02" width="0.254" layer="1"/>
+<wire x1="28.448" y1="34.544" x2="28.448" y2="34.163" width="0.254" layer="16"/>
+<via x="28.448" y="34.163" extent="1-16" drill="0.3048"/>
+</signal>
+<signal name="N$36">
+<contactref element="J2" pad="1-1"/>
+<contactref element="U$2" pad="P$11"/>
+<contactref element="J2" pad="2-4"/>
+<wire x1="41.021" y1="35.56" x2="41.021" y2="34.544" width="0.254" layer="16"/>
+<wire x1="28.702" y1="35.56" x2="41.021" y2="35.56" width="0.254" layer="16"/>
+<wire x1="41.021" y1="34.544" x2="41.08" y2="34.505" width="0.254" layer="16"/>
+<wire x1="9.271" y1="34.544" x2="10.795" y2="33.02" width="0.254" layer="16"/>
+<wire x1="9.144" y1="34.544" x2="9.271" y2="34.544" width="0.254" layer="16"/>
+<wire x1="8.509" y1="35.179" x2="9.144" y2="34.544" width="0.254" layer="16"/>
+<wire x1="8.509" y1="37.084" x2="8.509" y2="35.179" width="0.254" layer="16"/>
+<wire x1="27.178" y1="37.084" x2="8.509" y2="37.084" width="0.254" layer="16"/>
+<wire x1="28.702" y1="35.56" x2="27.178" y2="37.084" width="0.254" layer="16"/>
+<wire x1="28.702" y1="35.56" x2="28.575" y2="35.56" width="0.254" layer="16"/>
+</signal>
+<signal name="VIN" class="1">
+<contactref element="U$6" pad="5"/>
+<contactref element="U$6" pad="1"/>
+<contactref element="JP1" pad="5"/>
+<contactref element="JP1" pad="7"/>
+<contactref element="C10" pad="1"/>
+<wire x1="41.656" y1="10.16" x2="39.4335" y2="7.9375" width="0.508" layer="1"/>
+<wire x1="39.4335" y1="7.9375" x2="38.1" y2="6.604" width="0.508" layer="1"/>
+<wire x1="41.656" y1="11.43" x2="41.656" y2="10.16" width="0.508" layer="1"/>
+<wire x1="38.1" y1="6.604" x2="38.1" y2="6.58" width="0.508" layer="1"/>
+<wire x1="41.656" y1="11.43" x2="41.75" y2="11.43" width="0.508" layer="1"/>
+<wire x1="41.656" y1="17.272" x2="41.656" y2="16.51" width="0.508" layer="1"/>
+<wire x1="34.29" y1="9.906" x2="41.656" y2="17.272" width="0.508" layer="16"/>
+<wire x1="34.29" y1="2.54" x2="34.29" y2="8.001" width="0.508" layer="16"/>
+<wire x1="34.29" y1="8.001" x2="34.29" y2="9.906" width="0.508" layer="16"/>
+<wire x1="31.75" y1="2.54" x2="34.29" y2="2.54" width="0.508" layer="16"/>
+<wire x1="30.48" y1="3.81" x2="31.75" y2="2.54" width="0.508" layer="16"/>
+<wire x1="41.656" y1="16.51" x2="41.75" y2="16.51" width="0.508" layer="1"/>
+<wire x1="30.48" y1="3.81" x2="27.94" y2="3.81" width="0.508" layer="16"/>
+<wire x1="34.29" y1="8.001" x2="39.37" y2="8.001" width="0.508" layer="16"/>
+<wire x1="39.37" y1="8.001" x2="39.4335" y2="7.9375" width="0.508" layer="1"/>
+<via x="41.656" y="17.272" extent="1-16" drill="0.3048"/>
+<via x="39.37" y="8.001" extent="1-16" drill="0.3048"/>
+</signal>
+<signal name="N$37">
+<contactref element="U$6" pad="4"/>
+<contactref element="C8" pad="1"/>
+<wire x1="41.656" y1="12.573" x2="39.37" y2="12.573" width="0.254" layer="1"/>
+<wire x1="41.656" y1="12.7" x2="41.656" y2="12.573" width="0.254" layer="1"/>
+<wire x1="39.37" y1="12.573" x2="39.37" y2="12.485" width="0.254" layer="1"/>
+<wire x1="41.656" y1="12.7" x2="41.75" y2="12.7" width="0.254" layer="1"/>
+</signal>
</signals>
</board>
</drawing>
diff --git a/hardware/eagle/e1_xcvr.pro b/hardware/eagle/e1_xcvr.pro
index 823bd02..390e77f 100644
--- a/hardware/eagle/e1_xcvr.pro
+++ b/hardware/eagle/e1_xcvr.pro
@@ -2,24 +2,24 @@ EAGLE AutoRouter Statistics:
Job : /home/eagle/eagle/e1_xcvr/e1_xcvr.brd
-Start at : 19:45:51 (23 Dec 2011)
-End at : 19:46:06 (23 Dec 2011)
-Elapsed time : 00:00:15
+Start at : 01:37:52 (24 Dec 2011)
+End at : 01:38:06 (24 Dec 2011)
+Elapsed time : 00:00:14
-Signals : 49 RoutingGrid: 5 mil Layers: 2
-Connections : 104 predefined: 4 ( 0 Vias )
+Signals : 41 RoutingGrid: 5 mil Layers: 2
+Connections : 113 predefined: 4 ( 0 Vias )
-Router memory : 780200
+Router memory : 765336
Passname : Busses Route Optimize1 Optimize2 Optimize3 Optimize4
-Time per pass : 00:00:01 00:00:02 00:00:03 00:00:03 00:00:03 00:00:03
+Time per pass : 00:00:01 00:00:02 00:00:03 00:00:03 00:00:02 00:00:03
Number of Ripups : 0 0 0 0 0 0
max. Level : 0 0 0 0 0 0
max. Total : 0 0 0 0 0 0
-Routed : 9 100 100 100 100 100
-Vias : 0 121 57 47 46 45
-Resolution : 12.5 % 100.0 % 100.0 % 100.0 % 100.0 % 100.0 %
+Routed : 7 109 109 109 109 109
+Vias : 0 108 50 41 36 37
+Resolution : 9.7 % 100.0 % 100.0 % 100.0 % 100.0 % 100.0 %
-Final : 99.0% finished. Polygons may have fallen apart.
+Final : 99.1% finished. Polygons may have fallen apart.
diff --git a/hardware/eagle/e1_xcvr.sch b/hardware/eagle/e1_xcvr.sch
index f1d3b8f..1bc9c9c 100644
--- a/hardware/eagle/e1_xcvr.sch
+++ b/hardware/eagle/e1_xcvr.sch
@@ -8,43 +8,43 @@
</settings>
<grid distance="0.1" unitdist="inch" unit="inch" style="lines" multiple="1" display="no" altdistance="0.01" altunitdist="inch" altunit="inch"/>
<layers>
-<layer number="1" name="Top" color="4" fill="1" visible="no" active="no"/>
-<layer number="16" name="Bottom" color="1" fill="1" visible="no" active="no"/>
-<layer number="17" name="Pads" color="2" fill="1" visible="no" active="no"/>
-<layer number="18" name="Vias" color="2" fill="1" visible="no" active="no"/>
-<layer number="19" name="Unrouted" color="6" fill="1" visible="no" active="no"/>
-<layer number="20" name="Dimension" color="15" fill="1" visible="no" active="no"/>
-<layer number="21" name="tPlace" color="7" fill="1" visible="no" active="no"/>
-<layer number="22" name="bPlace" color="7" fill="1" visible="no" active="no"/>
-<layer number="23" name="tOrigins" color="15" fill="1" visible="no" active="no"/>
-<layer number="24" name="bOrigins" color="15" fill="1" visible="no" active="no"/>
-<layer number="25" name="tNames" color="7" fill="1" visible="no" active="no"/>
-<layer number="26" name="bNames" color="7" fill="1" visible="no" active="no"/>
-<layer number="27" name="tValues" color="7" fill="1" visible="no" active="no"/>
-<layer number="28" name="bValues" color="7" fill="1" visible="no" active="no"/>
-<layer number="29" name="tStop" color="3" fill="9" visible="no" active="no"/>
+<layer number="1" name="Top" color="4" fill="1" visible="yes" active="no"/>
+<layer number="16" name="Bottom" color="1" fill="1" visible="yes" active="no"/>
+<layer number="17" name="Pads" color="2" fill="1" visible="yes" active="no"/>
+<layer number="18" name="Vias" color="2" fill="1" visible="yes" active="no"/>
+<layer number="19" name="Unrouted" color="6" fill="1" visible="yes" active="no"/>
+<layer number="20" name="Dimension" color="15" fill="1" visible="yes" active="no"/>
+<layer number="21" name="tPlace" color="7" fill="1" visible="yes" active="no"/>
+<layer number="22" name="bPlace" color="7" fill="1" visible="yes" active="no"/>
+<layer number="23" name="tOrigins" color="15" fill="1" visible="yes" active="no"/>
+<layer number="24" name="bOrigins" color="15" fill="1" visible="yes" active="no"/>
+<layer number="25" name="tNames" color="7" fill="1" visible="yes" active="no"/>
+<layer number="26" name="bNames" color="7" fill="1" visible="yes" active="no"/>
+<layer number="27" name="tValues" color="7" fill="1" visible="yes" active="no"/>
+<layer number="28" name="bValues" color="7" fill="1" visible="yes" active="no"/>
+<layer number="29" name="tStop" color="7" fill="3" visible="no" active="no"/>
<layer number="30" name="bStop" color="7" fill="6" visible="no" active="no"/>
-<layer number="31" name="tCream" color="11" fill="1" visible="no" active="no"/>
+<layer number="31" name="tCream" color="7" fill="4" visible="no" active="no"/>
<layer number="32" name="bCream" color="7" fill="5" visible="no" active="no"/>
<layer number="33" name="tFinish" color="6" fill="3" visible="no" active="no"/>
<layer number="34" name="bFinish" color="6" fill="6" visible="no" active="no"/>
<layer number="35" name="tGlue" color="7" fill="4" visible="no" active="no"/>
<layer number="36" name="bGlue" color="7" fill="5" visible="no" active="no"/>
-<layer number="37" name="tTest" color="7" fill="1" visible="no" active="no"/>
-<layer number="38" name="bTest" color="7" fill="1" visible="no" active="no"/>
-<layer number="39" name="tKeepout" color="13" fill="1" visible="no" active="no"/>
-<layer number="40" name="bKeepout" color="5" fill="1" visible="no" active="no"/>
+<layer number="37" name="tTest" color="7" fill="1" visible="yes" active="no"/>
+<layer number="38" name="bTest" color="7" fill="1" visible="yes" active="no"/>
+<layer number="39" name="tKeepout" color="4" fill="11" visible="no" active="no"/>
+<layer number="40" name="bKeepout" color="1" fill="11" visible="no" active="no"/>
<layer number="41" name="tRestrict" color="4" fill="10" visible="no" active="no"/>
<layer number="42" name="bRestrict" color="1" fill="10" visible="no" active="no"/>
<layer number="43" name="vRestrict" color="2" fill="10" visible="no" active="no"/>
<layer number="44" name="Drills" color="7" fill="1" visible="no" active="no"/>
<layer number="45" name="Holes" color="7" fill="1" visible="no" active="no"/>
-<layer number="46" name="Milling" color="3" fill="1" visible="no" active="no"/>
-<layer number="47" name="Measures" color="7" fill="1" visible="no" active="no"/>
-<layer number="48" name="Document" color="7" fill="1" visible="no" active="no"/>
-<layer number="49" name="Reference" color="7" fill="1" visible="no" active="no"/>
-<layer number="51" name="tDocu" color="6" fill="1" visible="no" active="no"/>
-<layer number="52" name="bDocu" color="6" fill="1" visible="no" active="no"/>
+<layer number="46" name="Milling" color="3" fill="1" visible="yes" active="no"/>
+<layer number="47" name="Measures" color="7" fill="1" visible="yes" active="no"/>
+<layer number="48" name="Document" color="7" fill="1" visible="yes" active="no"/>
+<layer number="49" name="Reference" color="7" fill="1" visible="yes" active="no"/>
+<layer number="51" name="tDocu" color="7" fill="1" visible="yes" active="no"/>
+<layer number="52" name="bDocu" color="7" fill="1" visible="yes" active="no"/>
<layer number="91" name="Nets" color="2" fill="1" visible="yes" active="yes"/>
<layer number="92" name="Busses" color="1" fill="1" visible="yes" active="yes"/>
<layer number="93" name="Pins" color="2" fill="1" visible="no" active="yes"/>
@@ -217,6 +217,27 @@ package type TQ</description>
<wire x1="3.2" y1="-1.55" x2="-3.2" y2="-1.55" width="0.127" layer="51"/>
<wire x1="-3.2" y1="-1.55" x2="-3.2" y2="1.55" width="0.127" layer="51"/>
</package>
+<package name="SOT223-6">
+<description>SOT223-6 ass found in TPS736xx data sheet</description>
+<wire x1="-3.25" y1="1.75" x2="3.25" y2="1.75" width="0.127" layer="21"/>
+<wire x1="-3.25" y1="-1.75" x2="3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="-3.25" y1="1.75" x2="-3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="3.25" y1="1.75" x2="3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="-2.54" y1="-1.8" x2="-2.54" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="-1.8" x2="-1.27" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="0" y1="-1.8" x2="0" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="1.27" y1="-1.8" x2="1.27" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="2.54" y1="-1.8" x2="2.54" y2="-2.5" width="0.4064" layer="21"/>
+<smd name="3" x="0" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="2" x="-1.27" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="1" x="-2.54" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="4" x="1.27" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="5" x="2.54" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="6" x="0" y="3" dx="3.6" dy="2.2" layer="1"/>
+<text x="-3.54" y="0.0508" size="1.27" layer="25">&gt;NAME</text>
+<text x="-3.54" y="-1.3208" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.6002" y1="1.8034" x2="1.6002" y2="3.6576" layer="51"/>
+</package>
</packages>
<symbols>
<symbol name="IDT82V2081_TDM">
@@ -245,11 +266,11 @@ package type TQ</description>
<text x="-2.54" y="10.16" size="1.27" layer="94">&gt;VALUE</text>
</symbol>
<symbol name="IDT82V2081_SERIAL">
-<pin name="/INT" x="-12.7" y="12.7" length="middle" direction="out"/>
-<pin name="/CS" x="-12.7" y="10.16" length="middle" direction="in"/>
-<pin name="SDO//ACK/RDY" x="-12.7" y="7.62" length="middle" direction="out"/>
+<pin name="!INT" x="-12.7" y="12.7" length="middle" direction="out"/>
+<pin name="!CS" x="-12.7" y="10.16" length="middle" direction="in"/>
+<pin name="SDO/!ACK/RDY" x="-12.7" y="7.62" length="middle" direction="out"/>
<pin name="SCLK/ALE/AS" x="-12.7" y="5.08" length="middle" direction="in"/>
-<pin name="/RD/DS/SCLKE" x="-12.7" y="2.54" length="middle" direction="in"/>
+<pin name="!RD/DS/SCLKE" x="-12.7" y="2.54" length="middle" direction="in"/>
<pin name="SDI/WR/RW" x="-12.7" y="0" length="middle" direction="in"/>
<wire x1="-2.54" y1="17.78" x2="-7.62" y2="17.78" width="0.254" layer="94"/>
<wire x1="-7.62" y1="17.78" x2="-7.62" y2="-5.08" width="0.254" layer="94"/>
@@ -271,7 +292,7 @@ package type TQ</description>
<pin name="JA0" x="-10.16" y="17.78" length="middle" direction="in" rot="R270"/>
<pin name="JA1" x="-7.62" y="17.78" length="middle" direction="in" rot="R270"/>
<pin name="THZ" x="-25.4" y="17.78" length="middle" direction="in" rot="R270"/>
-<pin name="/RST" x="-17.78" y="17.78" length="middle" direction="in" rot="R270"/>
+<pin name="!RST" x="-17.78" y="17.78" length="middle" direction="in" rot="R270"/>
<pin name="RCLKE" x="-15.24" y="17.78" length="middle" direction="in" rot="R270"/>
<wire x1="27.94" y1="7.62" x2="27.94" y2="12.7" width="0.254" layer="94"/>
<wire x1="27.94" y1="12.7" x2="-33.02" y2="12.7" width="0.254" layer="94"/>
@@ -282,7 +303,7 @@ package type TQ</description>
<pin name="GNDA" x="10.16" y="-7.62" length="middle" direction="pwr" rot="R180"/>
<pin name="VDDD" x="10.16" y="0" length="middle" direction="pwr" rot="R180"/>
<pin name="VDDT" x="10.16" y="2.54" length="middle" direction="pwr" rot="R180"/>
-<pin name="REF" x="10.16" y="10.16" length="middle" direction="pwr" rot="R180"/>
+<pin name="REF" x="10.16" y="10.16" length="middle" direction="in" rot="R180"/>
<pin name="GNDD" x="10.16" y="-12.7" length="middle" direction="pwr" rot="R180"/>
<pin name="VDDA" x="10.16" y="5.08" length="middle" direction="pwr" rot="R180"/>
<wire x1="0" y1="-17.78" x2="5.08" y2="-17.78" width="0.254" layer="94"/>
@@ -455,6 +476,21 @@ package type TQ</description>
<wire x1="5.08" y1="-7.62" x2="5.08" y2="-9.398" width="0.4064" layer="94"/>
<wire x1="3.302" y1="-7.62" x2="5.08" y2="-7.62" width="0.1524" layer="94"/>
</symbol>
+<symbol name="LDO_EN">
+<wire x1="-7.62" y1="5.08" x2="10.16" y2="5.08" width="0.254" layer="94"/>
+<wire x1="10.16" y1="5.08" x2="10.16" y2="-7.62" width="0.254" layer="94"/>
+<wire x1="10.16" y1="-7.62" x2="-7.62" y2="-7.62" width="0.254" layer="94"/>
+<wire x1="-7.62" y1="-7.62" x2="-7.62" y2="5.08" width="0.254" layer="94"/>
+<text x="-0.762" y="-6.604" size="1.524" layer="95">GND</text>
+<text x="-7.62" y="6.35" size="1.778" layer="95">&gt;NAME</text>
+<text x="2.54" y="6.35" size="1.778" layer="96">&gt;VALUE</text>
+<pin name="IN" x="-10.16" y="2.54" length="short" direction="in"/>
+<pin name="OUT" x="12.7" y="2.54" length="short" direction="pas" rot="R180"/>
+<pin name="EN" x="-10.16" y="-2.54" length="short" direction="in"/>
+<pin name="GND" x="0" y="-10.16" visible="pad" length="short" direction="pwr" rot="R90"/>
+<pin name="NR" x="12.7" y="-5.08" length="short" direction="pas" rot="R180"/>
+<pin name="GND1" x="2.54" y="-10.16" visible="pad" length="short" direction="pwr" rot="R90"/>
+</symbol>
</symbols>
<devicesets>
<deviceset name="IDT82V2081">
@@ -480,13 +516,13 @@ package type TQ</description>
<connect gate="G$2" pin="RTIP" pad="41"/>
<connect gate="G$2" pin="TRING" pad="36"/>
<connect gate="G$2" pin="TTIP" pad="37"/>
-<connect gate="G$3" pin="/CS" pad="21"/>
-<connect gate="G$3" pin="/INT" pad="20"/>
-<connect gate="G$3" pin="/RD/DS/SCLKE" pad="22"/>
+<connect gate="G$3" pin="!CS" pad="21"/>
+<connect gate="G$3" pin="!INT" pad="20"/>
+<connect gate="G$3" pin="!RD/DS/SCLKE" pad="22"/>
<connect gate="G$3" pin="SCLK/ALE/AS" pad="25"/>
<connect gate="G$3" pin="SDI/WR/RW" pad="24"/>
-<connect gate="G$3" pin="SDO//ACK/RDY" pad="23"/>
-<connect gate="G$4" pin="/RST" pad="12"/>
+<connect gate="G$3" pin="SDO/!ACK/RDY" pad="23"/>
+<connect gate="G$4" pin="!RST" pad="12"/>
<connect gate="G$4" pin="EQ/AD3" pad="29"/>
<connect gate="G$4" pin="JA0" pad="14"/>
<connect gate="G$4" pin="JA1" pad="15"/>
@@ -592,6 +628,27 @@ MNR35 (1206x5 size)</description>
</device>
</devices>
</deviceset>
+<deviceset name="TPS736XX">
+<description>TI Cap-Free NMOS 400mA LDO</description>
+<gates>
+<gate name="G$1" symbol="LDO_EN" x="0" y="0"/>
+</gates>
+<devices>
+<device name="" package="SOT223-6">
+<connects>
+<connect gate="G$1" pin="EN" pad="5"/>
+<connect gate="G$1" pin="GND" pad="3"/>
+<connect gate="G$1" pin="GND1" pad="6"/>
+<connect gate="G$1" pin="IN" pad="1"/>
+<connect gate="G$1" pin="NR" pad="4"/>
+<connect gate="G$1" pin="OUT" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+</devices>
+</deviceset>
</devicesets>
</library>
<library name="rcl">
@@ -6307,13 +6364,6 @@ In this library the device names are the same as the pin names of the symbols, t
<text x="-1.905" y="-3.175" size="1.778" layer="96">&gt;VALUE</text>
<pin name="GND" x="0" y="2.54" visible="off" length="short" direction="sup" rot="R270"/>
</symbol>
-<symbol name="GND1">
-<wire x1="-1.27" y1="0" x2="1.27" y2="0" width="0.254" layer="94"/>
-<wire x1="1.27" y1="0" x2="0" y2="-1.27" width="0.254" layer="94"/>
-<wire x1="0" y1="-1.27" x2="-1.27" y2="0" width="0.254" layer="94"/>
-<text x="-2.159" y="-3.175" size="1.778" layer="96">&gt;VALUE</text>
-<pin name="GND1" x="0" y="2.54" visible="off" length="short" direction="sup" rot="R270"/>
-</symbol>
</symbols>
<devicesets>
<deviceset name="GND" prefix="SUPPLY">
@@ -6329,19 +6379,6 @@ In this library the device names are the same as the pin names of the symbols, t
</device>
</devices>
</deviceset>
-<deviceset name="GND1" prefix="SUPPLY">
-<description>&lt;b&gt;SUPPLY SYMBOL&lt;/b&gt;</description>
-<gates>
-<gate name="G$1" symbol="GND1" x="0" y="0"/>
-</gates>
-<devices>
-<device name="">
-<technologies>
-<technology name=""/>
-</technologies>
-</device>
-</devices>
-</deviceset>
</devicesets>
</library>
<library name="supply1">
@@ -6866,64 +6903,85 @@ RJ45 Jack connectors&lt;br&gt;
&lt;/ul&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
-<package name="520251-4">
-<description>&lt;b&gt;Modular Jack&lt;/b&gt;&lt;p&gt;with Panel Stops</description>
-<wire x1="7.578" y1="11.044" x2="7.578" y2="-9.538" width="0.2032" layer="21"/>
-<wire x1="-7.578" y1="-9.538" x2="-7.578" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-7.578" y1="11.044" x2="-4.699" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="-4.191" y1="11.044" x2="-3.429" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-2.921" y1="11.049" x2="-2.159" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="-1.651" y1="11.049" x2="-0.889" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="-0.381" y1="11.049" x2="0.381" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="0.889" y1="11.044" x2="1.651" y2="11.0439" width="0.2032" layer="21"/>
-<wire x1="2.159" y1="11.049" x2="2.921" y2="11.049" width="0.2032" layer="21"/>
-<wire x1="3.429" y1="11.049" x2="4.191" y2="11.0491" width="0.2032" layer="21"/>
-<wire x1="4.699" y1="11.0439" x2="7.578" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-3.429" y1="9.779" x2="-2.921" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-2.159" y1="7.239" x2="-1.651" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-0.889" y1="9.779" x2="-0.381" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-3.429" y1="11.049" x2="-3.429" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-3.429" y1="11.044" x2="-3.429" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-2.159" y1="11.049" x2="-2.159" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-2.921" y1="11.049" x2="-2.921" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-0.889" y1="11.049" x2="-0.889" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="-1.651" y1="11.049" x2="-1.651" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="0.381" y1="11.049" x2="0.381" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-0.381" y1="11.049" x2="-0.381" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="0.381" y1="7.239" x2="0.889" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="7.5565" y1="-6.9215" x2="-7.5565" y2="-6.9215" width="0.0508" layer="21"/>
-<wire x1="0.889" y1="11.049" x2="0.889" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="0.889" y1="11.044" x2="0.889" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="7.493" y1="-9.652" x2="-7.493" y2="-9.652" width="0.2032" layer="21"/>
-<wire x1="-4.699" y1="7.239" x2="-4.191" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-4.699" y1="11.049" x2="-4.699" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="-4.191" y1="11.049" x2="-4.191" y2="11.044" width="0.2032" layer="21"/>
-<wire x1="-4.191" y1="11.044" x2="-4.191" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="1.651" y1="9.779" x2="2.159" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="1.651" y1="11.0491" x2="1.651" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="2.159" y1="11.049" x2="2.159" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="3.429" y1="11.044" x2="4.191" y2="11.0439" width="0.2032" layer="21"/>
-<wire x1="2.921" y1="11.049" x2="2.921" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="2.921" y1="7.239" x2="3.429" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="3.429" y1="11.044" x2="3.429" y2="7.239" width="0.2032" layer="21"/>
-<wire x1="4.191" y1="9.779" x2="4.699" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="4.191" y1="11.0491" x2="4.191" y2="9.779" width="0.2032" layer="21"/>
-<wire x1="4.699" y1="11.0491" x2="4.699" y2="11.0439" width="0.2032" layer="21"/>
-<wire x1="4.699" y1="11.0439" x2="4.699" y2="9.779" width="0.2032" layer="21"/>
-<pad name="4" x="-0.635" y="8.89" drill="0.889"/>
-<pad name="3" x="-1.905" y="6.35" drill="0.889"/>
-<pad name="2" x="-3.175" y="8.89" drill="0.889"/>
-<pad name="1" x="-4.445" y="6.35" drill="0.889"/>
-<pad name="5" x="0.635" y="6.35" drill="0.889"/>
-<pad name="6" x="1.905" y="8.89" drill="0.889"/>
-<pad name="7" x="3.175" y="6.35" drill="0.889"/>
-<pad name="8" x="4.445" y="8.89" drill="0.889"/>
-<text x="-8.382" y="-4.191" size="1.778" layer="25" rot="R90">&gt;NAME</text>
-<text x="9.652" y="-4.826" size="1.778" layer="27" rot="R90">&gt;VALUE</text>
-<rectangle x1="7.62" y1="-6.985" x2="8.382" y2="-5.842" layer="21"/>
-<rectangle x1="-8.382" y1="-6.985" x2="-7.62" y2="-5.842" layer="21"/>
-<hole x="-5.715" y="0" drill="3.2512"/>
-<hole x="5.715" y="0" drill="3.2512"/>
+<package name="557560-1">
+<description>&lt;b&gt;Modular Jack&lt;/b&gt;</description>
+<wire x1="-14.4272" y1="9.906" x2="-14.4272" y2="6.35" width="0.254" layer="21"/>
+<wire x1="-14.4272" y1="6.35" x2="-14.4272" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="-14.4272" y1="-10.414" x2="-9.906" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="-9.906" y1="-10.414" x2="-4.064" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="-4.064" y1="-10.414" x2="4.064" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="4.064" y1="-10.414" x2="9.906" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="9.906" y1="-10.414" x2="14.4272" y2="-10.414" width="0.254" layer="21"/>
+<wire x1="14.4272" y1="-10.414" x2="14.4272" y2="6.35" width="0.254" layer="21"/>
+<wire x1="14.4272" y1="6.35" x2="14.4272" y2="9.906" width="0.254" layer="21"/>
+<wire x1="14.4272" y1="9.906" x2="-14.4272" y2="9.906" width="0.254" layer="21"/>
+<wire x1="-12.4968" y1="6.35" x2="-14.4272" y2="6.35" width="0.254" layer="21"/>
+<wire x1="-2.794" y1="6.35" x2="-0.4572" y2="6.35" width="0.254" layer="21"/>
+<wire x1="-0.4572" y1="6.35" x2="0.635" y2="6.35" width="0.254" layer="21"/>
+<wire x1="-7.493" y1="8.763" x2="-7.493" y2="-2.8194" width="0.4064" layer="51"/>
+<wire x1="-6.477" y1="6.223" x2="-6.477" y2="-2.8194" width="0.4064" layer="51"/>
+<wire x1="-5.461" y1="8.763" x2="-5.461" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-4.445" y1="6.223" x2="-4.445" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-8.509" y1="6.223" x2="-8.509" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-9.525" y1="8.763" x2="-9.525" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-1.2192" y1="-10.3632" x2="-1.2192" y2="2.4892" width="0.1524" layer="21"/>
+<wire x1="-1.2192" y1="2.4892" x2="-12.7508" y2="2.4892" width="0.1524" layer="21"/>
+<wire x1="-12.7508" y1="2.4892" x2="-12.7508" y2="-10.3632" width="0.1524" layer="21"/>
+<wire x1="-9.906" y1="-10.414" x2="-9.906" y2="2.3622" width="0.1524" layer="21"/>
+<wire x1="-4.064" y1="2.3368" x2="-4.064" y2="-10.414" width="0.1524" layer="21"/>
+<wire x1="-10.541" y1="6.223" x2="-10.541" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-3.429" y1="8.763" x2="-3.429" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="-11.43" y1="6.35" x2="-10.541" y2="6.223" width="0.4064" layer="51"/>
+<wire x1="-10.16" y1="8.8646" x2="-9.525" y2="8.763" width="0.4064" layer="51"/>
+<wire x1="-8.89" y1="6.35" x2="-8.509" y2="6.2738" width="0.4064" layer="51"/>
+<wire x1="-7.62" y1="8.89" x2="-7.493" y2="8.8646" width="0.4064" layer="51"/>
+<wire x1="-6.35" y1="6.35" x2="-6.477" y2="6.2992" width="0.4064" layer="51"/>
+<wire x1="-5.08" y1="8.89" x2="-5.461" y2="8.8138" width="0.4064" layer="51"/>
+<wire x1="-3.81" y1="6.35" x2="-4.445" y2="6.2484" width="0.4064" layer="51"/>
+<wire x1="-2.54" y1="8.89" x2="-3.429" y2="8.763" width="0.4064" layer="51"/>
+<wire x1="1.4732" y1="6.35" x2="-0.4572" y2="6.35" width="0.254" layer="21"/>
+<wire x1="11.176" y1="6.35" x2="14.4272" y2="6.35" width="0.254" layer="21"/>
+<wire x1="6.477" y1="8.763" x2="6.477" y2="-2.8194" width="0.4064" layer="51"/>
+<wire x1="7.493" y1="6.223" x2="7.493" y2="-2.8194" width="0.4064" layer="51"/>
+<wire x1="8.509" y1="8.763" x2="8.509" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="9.525" y1="6.223" x2="9.525" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="5.461" y1="6.223" x2="5.461" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="4.445" y1="8.763" x2="4.445" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="12.7508" y1="-10.3632" x2="12.7508" y2="2.4892" width="0.1524" layer="21"/>
+<wire x1="12.7508" y1="2.4892" x2="1.2192" y2="2.4892" width="0.1524" layer="21"/>
+<wire x1="1.2192" y1="2.4892" x2="1.2192" y2="-10.3632" width="0.1524" layer="21"/>
+<wire x1="4.064" y1="-10.414" x2="4.064" y2="2.3622" width="0.1524" layer="21"/>
+<wire x1="9.906" y1="2.3368" x2="9.906" y2="-10.414" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="6.223" x2="3.429" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="10.541" y1="8.763" x2="10.541" y2="-2.794" width="0.4064" layer="51"/>
+<wire x1="2.54" y1="6.35" x2="3.429" y2="6.223" width="0.4064" layer="51"/>
+<wire x1="3.81" y1="8.8646" x2="4.445" y2="8.763" width="0.4064" layer="51"/>
+<wire x1="5.08" y1="6.35" x2="5.461" y2="6.2738" width="0.4064" layer="51"/>
+<wire x1="6.35" y1="8.89" x2="6.477" y2="8.8646" width="0.4064" layer="51"/>
+<wire x1="7.62" y1="6.35" x2="7.493" y2="6.2992" width="0.4064" layer="51"/>
+<wire x1="8.89" y1="8.89" x2="8.509" y2="8.8138" width="0.4064" layer="51"/>
+<wire x1="10.16" y1="6.35" x2="9.525" y2="6.2484" width="0.4064" layer="51"/>
+<wire x1="11.43" y1="8.89" x2="10.541" y2="8.763" width="0.4064" layer="51"/>
+<pad name="1-1" x="-11.43" y="6.35" drill="0.889"/>
+<pad name="1-2" x="-10.16" y="8.89" drill="0.889"/>
+<pad name="1-3" x="-8.89" y="6.35" drill="0.889"/>
+<pad name="1-4" x="-7.62" y="8.89" drill="0.889"/>
+<pad name="1-5" x="-6.35" y="6.35" drill="0.889"/>
+<pad name="1-6" x="-5.08" y="8.89" drill="0.889"/>
+<pad name="1-7" x="-3.81" y="6.35" drill="0.889"/>
+<pad name="1-8" x="-2.54" y="8.89" drill="0.889"/>
+<pad name="2-1" x="2.54" y="6.35" drill="0.889"/>
+<pad name="2-2" x="3.81" y="8.89" drill="0.889"/>
+<pad name="2-3" x="5.08" y="6.35" drill="0.889"/>
+<pad name="2-4" x="6.35" y="8.89" drill="0.889"/>
+<pad name="2-5" x="7.62" y="6.35" drill="0.889"/>
+<pad name="2-6" x="8.89" y="8.89" drill="0.889"/>
+<pad name="2-7" x="10.16" y="6.35" drill="0.889"/>
+<pad name="2-8" x="11.43" y="8.89" drill="0.889"/>
+<text x="-14.732" y="-4.191" size="1.778" layer="25" rot="R90">&gt;NAME</text>
+<text x="16.637" y="-4.826" size="1.778" layer="27" rot="R90">&gt;VALUE</text>
+<hole x="-12.7" y="0" drill="3.2512"/>
+<hole x="12.7" y="0" drill="3.2512"/>
</package>
</packages>
<symbols>
@@ -6989,22 +7047,31 @@ RJ45 Jack connectors&lt;br&gt;
</symbol>
</symbols>
<devicesets>
-<deviceset name="520251-4" prefix="J" uservalue="yes">
+<deviceset name="557560-1" prefix="J" uservalue="yes">
<description>&lt;b&gt;AMP connector&lt;/b&gt;</description>
<gates>
-<gate name="G$1" symbol="JACK8" x="0" y="0"/>
+<gate name="-1" symbol="JACK8" x="0" y="0"/>
+<gate name="-2" symbol="JACK8" x="20.32" y="0"/>
</gates>
<devices>
-<device name="" package="520251-4">
+<device name="" package="557560-1">
<connects>
-<connect gate="G$1" pin="1" pad="1"/>
-<connect gate="G$1" pin="2" pad="2"/>
-<connect gate="G$1" pin="3" pad="3"/>
-<connect gate="G$1" pin="4" pad="4"/>
-<connect gate="G$1" pin="5" pad="5"/>
-<connect gate="G$1" pin="6" pad="6"/>
-<connect gate="G$1" pin="7" pad="7"/>
-<connect gate="G$1" pin="8" pad="8"/>
+<connect gate="-1" pin="1" pad="1-1"/>
+<connect gate="-1" pin="2" pad="1-2"/>
+<connect gate="-1" pin="3" pad="1-3"/>
+<connect gate="-1" pin="4" pad="1-4"/>
+<connect gate="-1" pin="5" pad="1-5"/>
+<connect gate="-1" pin="6" pad="1-6"/>
+<connect gate="-1" pin="7" pad="1-7"/>
+<connect gate="-1" pin="8" pad="1-8"/>
+<connect gate="-2" pin="1" pad="2-1"/>
+<connect gate="-2" pin="2" pad="2-2"/>
+<connect gate="-2" pin="3" pad="2-3"/>
+<connect gate="-2" pin="4" pad="2-4"/>
+<connect gate="-2" pin="5" pad="2-5"/>
+<connect gate="-2" pin="6" pad="2-6"/>
+<connect gate="-2" pin="7" pad="2-7"/>
+<connect gate="-2" pin="8" pad="2-8"/>
</connects>
<technologies>
<technology name=""/>
@@ -7252,35 +7319,36 @@ SMD chip inductor</description>
<part name="D3" library="semicon-smd-ipc" deviceset="D-FILL-" device="SOD123" value="MBR0540T1"/>
<part name="D4" library="semicon-smd-ipc" deviceset="D-FILL-" device="SOD123" value="MBR0540T1"/>
<part name="VDDT" library="supply1" deviceset="VDD" device="" value="VDDT"/>
-<part name="GND" library="supply2" deviceset="GND1" device="" value="GNDT"/>
<part name="D5" library="semicon-smd-ipc" deviceset="D-FILL-" device="SOD123" value="MBR0540T1"/>
<part name="D6" library="semicon-smd-ipc" deviceset="D-FILL-" device="SOD123" value="MBR0540T1"/>
<part name="D7" library="semicon-smd-ipc" deviceset="D-FILL-" device="SOD123" value="MBR0540T1"/>
<part name="D8" library="semicon-smd-ipc" deviceset="D-FILL-" device="SOD123" value="MBR0540T1"/>
-<part name="VDDT1" library="supply1" deviceset="VDD" device="" value="VDDT"/>
-<part name="GNDT1" library="supply2" deviceset="GND1" device="" value="GNDT"/>
+<part name="VDDT1" library="supply1" deviceset="VDD" device="" value="VDD"/>
<part name="R4" library="rcl" deviceset="R-EU_" device="R0603" value="0"/>
<part name="R5" library="rcl" deviceset="R-EU_" device="R0603" value="0"/>
<part name="C5" library="rcl" deviceset="C-EU" device="C0603"/>
-<part name="J1" library="con-amp" deviceset="520251-4" device=""/>
-<part name="JP3" library="jumper" deviceset="JP2E" device="" value="A/B"/>
-<part name="JP4" library="jumper" deviceset="JP2E" device="" value="A/B"/>
-<part name="JP5" library="jumper" deviceset="JP2E" device="" value="TE/NT"/>
-<part name="JP6" library="jumper" deviceset="JP2E" device="" value="TE/NT"/>
-<part name="JP7" library="jumper" deviceset="JP2E" device="" value="TE/NT"/>
-<part name="JP8" library="jumper" deviceset="JP2E" device="" value="TE/NT"/>
<part name="SUPPLY3" library="supply2" deviceset="GND" device=""/>
<part name="L1" library="inductor-neosid" deviceset="SM-1206" device=""/>
<part name="VDD2" library="supply1" deviceset="VDD" device=""/>
-<part name="U$3" library="laforge" deviceset="FOX_FXO-HC73" device=""/>
+<part name="U$3" library="laforge" deviceset="FOX_FXO-HC73" device="" value="2.048 Mhz"/>
<part name="C6" library="rcl" deviceset="C-EU" device="C0603" value="100nF"/>
<part name="VDD3" library="supply1" deviceset="VDD" device=""/>
-<part name="U$4" library="laforge" deviceset="FOX_FXO-HC73" device=""/>
+<part name="U$4" library="laforge" deviceset="FOX_FXO-HC73" device="" value="1.544 MHz"/>
<part name="C7" library="rcl" deviceset="C-EU" device="C0603" value="100nF"/>
<part name="SUPPLY1" library="supply2" deviceset="GND" device=""/>
<part name="JP9" library="jumper" deviceset="JP2E" device="" value="1544/2048"/>
<part name="JP10" library="jumper" deviceset="JP1E" device="" value="TCLK/MCLK"/>
<part name="U$5" library="laforge" deviceset="MNR" device=""/>
+<part name="R2" library="rcl" deviceset="R-EU_" device="R0603" value="10k"/>
+<part name="J2" library="con-amp" deviceset="557560-1" device=""/>
+<part name="SUPPLY4" library="supply2" deviceset="GND" device=""/>
+<part name="SUPPLY5" library="supply2" deviceset="GND" device=""/>
+<part name="U$6" library="laforge" deviceset="TPS736XX" device=""/>
+<part name="SUPPLY6" library="supply2" deviceset="GND" device=""/>
+<part name="C8" library="rcl" deviceset="C-EU" device="C0603" value="1nF"/>
+<part name="C9" library="rcl" deviceset="C-EU" device="C1206K" value="68uF"/>
+<part name="VDD1" library="supply1" deviceset="VDD" device=""/>
+<part name="C10" library="rcl" deviceset="C-EU" device="C1206K" value="68uF (NC)"/>
</parts>
<sheets>
<sheet>
@@ -7288,20 +7356,21 @@ SMD chip inductor</description>
<text x="167.64" y="195.58" size="1.778" layer="91" rot="MR0">Rx Line</text>
<text x="167.64" y="167.64" size="1.778" layer="91" rot="MR0">Tx Line</text>
<frame x1="-17.78" y1="43.18" x2="231.14" y2="215.9" columns="8" rows="5" layer="98"/>
+<text x="200.66" y="55.88" size="1.778" layer="91">osmo-e1-xcvr</text>
</plain>
<instances>
<instance part="U$1" gate="G$1" x="48.26" y="114.3"/>
<instance part="U$1" gate="G$2" x="71.12" y="182.88"/>
-<instance part="U$1" gate="G$3" x="50.8" y="78.74"/>
+<instance part="U$1" gate="G$3" x="50.8" y="71.12"/>
<instance part="U$1" gate="G$4" x="55.88" y="177.8" rot="R90"/>
<instance part="U$1" gate="G$5" x="68.58" y="137.16"/>
-<instance part="U$1" gate="G$6" x="68.58" y="81.28" rot="MR270"/>
+<instance part="U$1" gate="G$6" x="68.58" y="73.66" rot="MR270"/>
<instance part="R1" gate="G$1" x="86.36" y="147.32" rot="R180"/>
<instance part="SUPPLY2" gate="GND" x="38.1" y="208.28" rot="R180"/>
-<instance part="VDD" gate="G$1" x="30.48" y="205.74" rot="R90"/>
+<instance part="VDD" gate="G$1" x="-2.54" y="208.28"/>
<instance part="GND1" gate="G$1" x="10.16" y="144.78"/>
-<instance part="GND2" gate="G$1" x="30.48" y="71.12"/>
-<instance part="JP1" gate="A" x="12.7" y="88.9"/>
+<instance part="GND2" gate="G$1" x="30.48" y="63.5"/>
+<instance part="JP1" gate="A" x="12.7" y="81.28"/>
<instance part="JP2" gate="A" x="12.7" y="121.92"/>
<instance part="C1" gate="G$1" x="91.44" y="124.46"/>
<instance part="C2" gate="G$1" x="99.06" y="124.46"/>
@@ -7315,35 +7384,37 @@ SMD chip inductor</description>
<instance part="D3" gate="G$1" x="99.06" y="190.5" rot="MR90"/>
<instance part="D4" gate="G$1" x="109.22" y="190.5" rot="MR270"/>
<instance part="VDDT" gate="G$1" x="88.9" y="200.66" rot="MR0"/>
-<instance part="GND" gate="G$1" x="116.84" y="190.5" rot="MR0"/>
<instance part="D5" gate="G$1" x="109.22" y="172.72" rot="MR90"/>
<instance part="D6" gate="G$1" x="99.06" y="172.72" rot="MR270"/>
<instance part="D7" gate="G$1" x="99.06" y="162.56" rot="MR90"/>
<instance part="D8" gate="G$1" x="109.22" y="162.56" rot="MR270"/>
<instance part="VDDT1" gate="G$1" x="88.9" y="172.72" rot="MR0"/>
-<instance part="GNDT1" gate="G$1" x="116.84" y="162.56" rot="MR0"/>
<instance part="R4" gate="G$1" x="121.92" y="177.8" rot="MR0"/>
<instance part="R5" gate="G$1" x="121.92" y="157.48" rot="MR0"/>
<instance part="C5" gate="G$1" x="129.54" y="167.64" rot="MR0"/>
-<instance part="J1" gate="G$1" x="218.44" y="175.26" rot="MR180"/>
-<instance part="JP3" gate="1" x="205.74" y="203.2" rot="MR0"/>
-<instance part="JP4" gate="1" x="205.74" y="149.86" rot="MR180"/>
-<instance part="JP5" gate="1" x="190.5" y="154.94" rot="MR90"/>
-<instance part="JP6" gate="1" x="190.5" y="198.12" rot="MR90"/>
-<instance part="JP7" gate="1" x="190.5" y="182.88" rot="MR90"/>
-<instance part="JP8" gate="1" x="190.5" y="170.18" rot="MR90"/>
<instance part="SUPPLY3" gate="GND" x="139.7" y="101.6"/>
<instance part="L1" gate="G$1" x="132.08" y="142.24"/>
<instance part="VDD2" gate="G$1" x="139.7" y="147.32"/>
-<instance part="U$3" gate="G$1" x="99.06" y="93.98" rot="R180"/>
-<instance part="C6" gate="G$1" x="121.92" y="91.44" rot="R90"/>
-<instance part="VDD3" gate="G$1" x="111.76" y="86.36"/>
-<instance part="U$4" gate="G$1" x="99.06" y="76.2" rot="MR0"/>
-<instance part="C7" gate="G$1" x="124.46" y="78.74" rot="MR90"/>
-<instance part="SUPPLY1" gate="GND" x="132.08" y="66.04" rot="MR0"/>
-<instance part="JP9" gate="1" x="114.3" y="66.04" rot="MR180"/>
-<instance part="JP10" gate="A" x="83.82" y="63.5" rot="R180"/>
+<instance part="U$3" gate="G$1" x="99.06" y="86.36" rot="R180"/>
+<instance part="C6" gate="G$1" x="121.92" y="83.82" rot="R90"/>
+<instance part="VDD3" gate="G$1" x="111.76" y="78.74"/>
+<instance part="U$4" gate="G$1" x="99.06" y="68.58" rot="MR0"/>
+<instance part="C7" gate="G$1" x="124.46" y="71.12" rot="MR90"/>
+<instance part="SUPPLY1" gate="GND" x="132.08" y="58.42" rot="MR0"/>
+<instance part="JP9" gate="1" x="114.3" y="58.42" rot="MR180"/>
+<instance part="JP10" gate="A" x="86.36" y="93.98" rot="MR90"/>
<instance part="U$5" gate="G$1" x="17.78" y="182.88" rot="MR0"/>
+<instance part="R2" gate="G$1" x="10.16" y="157.48" rot="MR0"/>
+<instance part="J2" gate="-1" x="203.2" y="190.5" rot="MR180"/>
+<instance part="J2" gate="-2" x="203.2" y="165.1" rot="MR180"/>
+<instance part="SUPPLY4" gate="GND" x="116.84" y="162.56"/>
+<instance part="SUPPLY5" gate="GND" x="116.84" y="190.5"/>
+<instance part="U$6" gate="G$1" x="177.8" y="78.74"/>
+<instance part="SUPPLY6" gate="GND" x="180.34" y="60.96"/>
+<instance part="C8" gate="G$1" x="193.04" y="71.12"/>
+<instance part="C9" gate="G$1" x="200.66" y="76.2"/>
+<instance part="VDD1" gate="G$1" x="208.28" y="86.36"/>
+<instance part="C10" gate="G$1" x="12.7" y="58.42" rot="R180"/>
</instances>
<busses>
</busses>
@@ -7402,25 +7473,25 @@ SMD chip inductor</description>
</segment>
<segment>
<pinref part="U$3" gate="G$1" pin="GND"/>
-<wire x1="109.22" y1="96.52" x2="132.08" y2="96.52" width="0.1524" layer="91"/>
-<wire x1="132.08" y1="96.52" x2="132.08" y2="91.44" width="0.1524" layer="91"/>
+<wire x1="109.22" y1="88.9" x2="132.08" y2="88.9" width="0.1524" layer="91"/>
+<wire x1="132.08" y1="88.9" x2="132.08" y2="83.82" width="0.1524" layer="91"/>
<pinref part="C6" gate="G$1" pin="2"/>
-<wire x1="132.08" y1="91.44" x2="132.08" y2="78.74" width="0.1524" layer="91"/>
-<wire x1="132.08" y1="78.74" x2="132.08" y2="73.66" width="0.1524" layer="91"/>
-<wire x1="132.08" y1="73.66" x2="132.08" y2="68.58" width="0.1524" layer="91"/>
-<wire x1="127" y1="91.44" x2="132.08" y2="91.44" width="0.1524" layer="91"/>
+<wire x1="132.08" y1="83.82" x2="132.08" y2="71.12" width="0.1524" layer="91"/>
+<wire x1="132.08" y1="71.12" x2="132.08" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="132.08" y1="66.04" x2="132.08" y2="60.96" width="0.1524" layer="91"/>
+<wire x1="127" y1="83.82" x2="132.08" y2="83.82" width="0.1524" layer="91"/>
<pinref part="C7" gate="G$1" pin="1"/>
-<wire x1="127" y1="78.74" x2="132.08" y2="78.74" width="0.1524" layer="91"/>
+<wire x1="127" y1="71.12" x2="132.08" y2="71.12" width="0.1524" layer="91"/>
<pinref part="SUPPLY1" gate="GND" pin="GND"/>
-<junction x="132.08" y="78.74"/>
-<junction x="132.08" y="91.44"/>
+<junction x="132.08" y="71.12"/>
+<junction x="132.08" y="83.82"/>
<pinref part="U$4" gate="G$1" pin="GND"/>
-<wire x1="109.22" y1="73.66" x2="114.3" y2="73.66" width="0.1524" layer="91"/>
-<junction x="132.08" y="73.66"/>
+<wire x1="109.22" y1="66.04" x2="114.3" y2="66.04" width="0.1524" layer="91"/>
+<junction x="132.08" y="66.04"/>
<pinref part="JP9" gate="1" pin="2"/>
-<wire x1="114.3" y1="73.66" x2="132.08" y2="73.66" width="0.1524" layer="91"/>
-<wire x1="114.3" y1="68.58" x2="114.3" y2="73.66" width="0.1524" layer="91"/>
-<junction x="114.3" y="73.66"/>
+<wire x1="114.3" y1="66.04" x2="132.08" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="114.3" y1="60.96" x2="114.3" y2="66.04" width="0.1524" layer="91"/>
+<junction x="114.3" y="66.04"/>
</segment>
<segment>
<pinref part="D5" gate="G$1" pin="A"/>
@@ -7430,7 +7501,56 @@ SMD chip inductor</description>
<wire x1="109.22" y1="167.64" x2="116.84" y2="167.64" width="0.1524" layer="91"/>
<wire x1="116.84" y1="167.64" x2="116.84" y2="165.1" width="0.1524" layer="91"/>
<junction x="109.22" y="167.64"/>
-<pinref part="GNDT1" gate="G$1" pin="GND1"/>
+<pinref part="SUPPLY4" gate="GND" pin="GND"/>
+</segment>
+<segment>
+<pinref part="D1" gate="G$1" pin="A"/>
+<pinref part="D4" gate="G$1" pin="A"/>
+<wire x1="109.22" y1="198.12" x2="109.22" y2="195.58" width="0.1524" layer="91"/>
+<wire x1="109.22" y1="195.58" x2="109.22" y2="193.04" width="0.1524" layer="91"/>
+<wire x1="109.22" y1="195.58" x2="116.84" y2="195.58" width="0.1524" layer="91"/>
+<wire x1="116.84" y1="195.58" x2="116.84" y2="193.04" width="0.1524" layer="91"/>
+<junction x="109.22" y="195.58"/>
+<pinref part="SUPPLY5" gate="GND" pin="GND"/>
+</segment>
+<segment>
+<pinref part="U$6" gate="G$1" pin="GND"/>
+<wire x1="177.8" y1="68.58" x2="177.8" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="177.8" y1="66.04" x2="180.34" y2="66.04" width="0.1524" layer="91"/>
+<pinref part="U$6" gate="G$1" pin="GND1"/>
+<wire x1="180.34" y1="68.58" x2="180.34" y2="66.04" width="0.1524" layer="91"/>
+<junction x="180.34" y="66.04"/>
+<wire x1="180.34" y1="66.04" x2="180.34" y2="63.5" width="0.1524" layer="91"/>
+<pinref part="SUPPLY6" gate="GND" pin="GND"/>
+<pinref part="C8" gate="G$1" pin="2"/>
+<wire x1="180.34" y1="66.04" x2="193.04" y2="66.04" width="0.1524" layer="91"/>
+<pinref part="C9" gate="G$1" pin="2"/>
+<wire x1="200.66" y1="71.12" x2="200.66" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="200.66" y1="66.04" x2="193.04" y2="66.04" width="0.1524" layer="91"/>
+<junction x="193.04" y="66.04"/>
+</segment>
+<segment>
+<pinref part="U$1" gate="G$3" pin="!RD/DS/SCLKE"/>
+<wire x1="38.1" y1="73.66" x2="30.48" y2="73.66" width="0.1524" layer="91"/>
+<pinref part="GND2" gate="G$1" pin="GNDIO"/>
+<wire x1="30.48" y1="73.66" x2="30.48" y2="66.04" width="0.1524" layer="91"/>
+<junction x="30.48" y="66.04"/>
+<wire x1="-5.08" y1="127" x2="-5.08" y2="86.36" width="0.1524" layer="91"/>
+<pinref part="JP1" gate="A" pin="1"/>
+<wire x1="-5.08" y1="86.36" x2="-5.08" y2="83.82" width="0.1524" layer="91"/>
+<wire x1="-5.08" y1="83.82" x2="-5.08" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="10.16" y1="86.36" x2="-5.08" y2="86.36" width="0.1524" layer="91"/>
+<pinref part="JP2" gate="A" pin="1"/>
+<wire x1="-5.08" y1="127" x2="10.16" y2="127" width="0.1524" layer="91"/>
+<junction x="-5.08" y="86.36"/>
+<wire x1="-5.08" y1="66.04" x2="12.7" y2="66.04" width="0.1524" layer="91"/>
+<pinref part="JP1" gate="A" pin="3"/>
+<wire x1="12.7" y1="66.04" x2="30.48" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="10.16" y1="83.82" x2="-5.08" y2="83.82" width="0.1524" layer="91"/>
+<junction x="-5.08" y="83.82"/>
+<pinref part="C10" gate="G$1" pin="2"/>
+<wire x1="12.7" y1="63.5" x2="12.7" y2="66.04" width="0.1524" layer="91"/>
+<junction x="12.7" y="66.04"/>
</segment>
</net>
<net name="N$1" class="0">
@@ -7443,9 +7563,13 @@ SMD chip inductor</description>
<net name="VDD" class="0">
<segment>
<pinref part="U$1" gate="G$4" pin="MODE0"/>
-<wire x1="38.1" y1="198.12" x2="33.02" y2="198.12" width="0.1524" layer="91"/>
-<wire x1="33.02" y1="198.12" x2="33.02" y2="205.74" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="198.12" x2="-2.54" y2="198.12" width="0.1524" layer="91"/>
+<wire x1="-2.54" y1="198.12" x2="-2.54" y2="205.74" width="0.1524" layer="91"/>
<pinref part="VDD" gate="G$1" pin="VDD"/>
+<wire x1="-2.54" y1="198.12" x2="-2.54" y2="157.48" width="0.1524" layer="91"/>
+<wire x1="-2.54" y1="157.48" x2="5.08" y2="157.48" width="0.1524" layer="91"/>
+<junction x="-2.54" y="198.12"/>
+<pinref part="R2" gate="G$1" pin="2"/>
</segment>
<segment>
<pinref part="U$1" gate="G$5" pin="VDDT"/>
@@ -7479,7 +7603,18 @@ SMD chip inductor</description>
</segment>
<segment>
<pinref part="VDD3" gate="G$1" pin="VDD"/>
-<wire x1="109.22" y1="83.82" x2="111.76" y2="83.82" width="0.1524" layer="91"/>
+<wire x1="109.22" y1="76.2" x2="111.76" y2="76.2" width="0.1524" layer="91"/>
+<pinref part="C6" gate="G$1" pin="1"/>
+<pinref part="U$3" gate="G$1" pin="VCC"/>
+<wire x1="109.22" y1="83.82" x2="119.38" y2="83.82" width="0.1524" layer="91"/>
+<wire x1="109.22" y1="76.2" x2="109.22" y2="83.82" width="0.1524" layer="91"/>
+<pinref part="U$4" gate="G$1" pin="VCC"/>
+<pinref part="C7" gate="G$1" pin="2"/>
+<wire x1="109.22" y1="71.12" x2="119.38" y2="71.12" width="0.1524" layer="91"/>
+<junction x="109.22" y="71.12"/>
+<wire x1="109.22" y1="76.2" x2="109.22" y2="71.12" width="0.1524" layer="91"/>
+<junction x="109.22" y="76.2"/>
+<junction x="109.22" y="83.82"/>
</segment>
<segment>
<pinref part="D2" gate="G$1" pin="C"/>
@@ -7501,23 +7636,18 @@ SMD chip inductor</description>
<junction x="99.06" y="167.64"/>
<pinref part="VDDT1" gate="G$1" pin="VDD"/>
</segment>
-</net>
-<net name="GNDIO" class="0">
<segment>
-<pinref part="U$1" gate="G$3" pin="/RD/DS/SCLKE"/>
-<wire x1="38.1" y1="81.28" x2="30.48" y2="81.28" width="0.1524" layer="91"/>
-<pinref part="GND2" gate="G$1" pin="GNDIO"/>
-<wire x1="30.48" y1="81.28" x2="30.48" y2="73.66" width="0.1524" layer="91"/>
-<junction x="30.48" y="73.66"/>
-<wire x1="-5.08" y1="127" x2="-5.08" y2="93.98" width="0.1524" layer="91"/>
-<pinref part="JP1" gate="A" pin="1"/>
-<wire x1="-5.08" y1="93.98" x2="-5.08" y2="73.66" width="0.1524" layer="91"/>
-<wire x1="10.16" y1="93.98" x2="-5.08" y2="93.98" width="0.1524" layer="91"/>
-<pinref part="JP2" gate="A" pin="1"/>
-<wire x1="-5.08" y1="127" x2="10.16" y2="127" width="0.1524" layer="91"/>
-<junction x="-5.08" y="93.98"/>
-<wire x1="-5.08" y1="73.66" x2="30.48" y2="73.66" width="0.1524" layer="91"/>
+<pinref part="U$6" gate="G$1" pin="OUT"/>
+<wire x1="190.5" y1="81.28" x2="200.66" y2="81.28" width="0.1524" layer="91"/>
+<junction x="200.66" y="81.28"/>
+<wire x1="200.66" y1="81.28" x2="208.28" y2="81.28" width="0.1524" layer="91"/>
+<pinref part="C9" gate="G$1" pin="1"/>
+<wire x1="200.66" y1="81.28" x2="200.66" y2="78.74" width="0.1524" layer="91"/>
+<pinref part="VDD1" gate="G$1" pin="VDD"/>
+<wire x1="208.28" y1="81.28" x2="208.28" y2="83.82" width="0.1524" layer="91"/>
</segment>
+</net>
+<net name="GNDIO" class="0">
<segment>
<wire x1="10.16" y1="193.04" x2="10.16" y2="175.26" width="0.1524" layer="91"/>
<pinref part="GND1" gate="G$1" pin="GNDIO"/>
@@ -7567,9 +7697,9 @@ SMD chip inductor</description>
<net name="N$5" class="0">
<segment>
<pinref part="U$1" gate="G$1" pin="LOS"/>
-<wire x1="38.1" y1="127" x2="35.56" y2="124.46" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="127" x2="38.1" y2="124.46" width="0.1524" layer="91"/>
<pinref part="JP2" gate="A" pin="4"/>
-<wire x1="35.56" y1="124.46" x2="17.78" y2="124.46" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="124.46" x2="17.78" y2="124.46" width="0.1524" layer="91"/>
</segment>
</net>
<net name="N$7" class="0">
@@ -7580,9 +7710,9 @@ SMD chip inductor</description>
<wire x1="2.54" y1="111.76" x2="2.54" y2="116.84" width="0.1524" layer="91"/>
<pinref part="JP2" gate="A" pin="9"/>
<wire x1="2.54" y1="116.84" x2="10.16" y2="116.84" width="0.1524" layer="91"/>
-<wire x1="25.4" y1="111.76" x2="25.4" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="25.4" y1="111.76" x2="25.4" y2="96.52" width="0.1524" layer="91"/>
<pinref part="JP10" gate="A" pin="2"/>
-<wire x1="25.4" y1="66.04" x2="81.28" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="25.4" y1="96.52" x2="83.82" y2="96.52" width="0.1524" layer="91"/>
<junction x="25.4" y="111.76"/>
</segment>
</net>
@@ -7606,11 +7736,15 @@ SMD chip inductor</description>
</net>
<net name="N$17" class="0">
<segment>
-<pinref part="U$1" gate="G$4" pin="/RST"/>
+<pinref part="U$1" gate="G$4" pin="!RST"/>
<wire x1="38.1" y1="160.02" x2="33.02" y2="160.02" width="0.1524" layer="91"/>
-<wire x1="33.02" y1="160.02" x2="33.02" y2="127" width="0.1524" layer="91"/>
+<wire x1="33.02" y1="160.02" x2="33.02" y2="157.48" width="0.1524" layer="91"/>
<pinref part="JP2" gate="A" pin="2"/>
+<wire x1="33.02" y1="157.48" x2="33.02" y2="127" width="0.1524" layer="91"/>
<wire x1="33.02" y1="127" x2="17.78" y2="127" width="0.1524" layer="91"/>
+<pinref part="R2" gate="G$1" pin="1"/>
+<wire x1="15.24" y1="157.48" x2="33.02" y2="157.48" width="0.1524" layer="91"/>
+<junction x="33.02" y="157.48"/>
</segment>
</net>
<net name="N$23" class="1">
@@ -7665,18 +7799,6 @@ SMD chip inductor</description>
<wire x1="99.06" y1="185.42" x2="78.74" y2="185.42" width="0.1524" layer="91"/>
</segment>
</net>
-<net name="GNDT" class="0">
-<segment>
-<pinref part="D1" gate="G$1" pin="A"/>
-<pinref part="D4" gate="G$1" pin="A"/>
-<wire x1="109.22" y1="198.12" x2="109.22" y2="195.58" width="0.1524" layer="91"/>
-<wire x1="109.22" y1="195.58" x2="109.22" y2="193.04" width="0.1524" layer="91"/>
-<wire x1="109.22" y1="195.58" x2="116.84" y2="195.58" width="0.1524" layer="91"/>
-<wire x1="116.84" y1="195.58" x2="116.84" y2="193.04" width="0.1524" layer="91"/>
-<junction x="109.22" y="195.58"/>
-<pinref part="GND" gate="G$1" pin="GND1"/>
-</segment>
-</net>
<net name="N$25" class="0">
<segment>
<pinref part="D5" gate="G$1" pin="C"/>
@@ -7731,200 +7853,80 @@ SMD chip inductor</description>
<junction x="129.54" y="157.48"/>
</segment>
</net>
-<net name="T+A" class="0">
-<segment>
-<pinref part="J1" gate="G$1" pin="1"/>
-<wire x1="213.36" y1="167.64" x2="208.28" y2="167.64" width="0.1524" layer="91"/>
-<wire x1="208.28" y1="167.64" x2="208.28" y2="152.4" width="0.1524" layer="91"/>
-<pinref part="JP4" gate="1" pin="3"/>
-<label x="213.36" y="167.64" size="1.778" layer="95" rot="MR0"/>
-</segment>
-</net>
-<net name="T-B" class="0">
-<segment>
-<pinref part="J1" gate="G$1" pin="6"/>
-<wire x1="213.36" y1="180.34" x2="203.2" y2="180.34" width="0.1524" layer="91"/>
-<wire x1="203.2" y1="180.34" x2="203.2" y2="200.66" width="0.1524" layer="91"/>
-<label x="213.36" y="180.34" size="1.778" layer="95" rot="MR0"/>
-<pinref part="JP3" gate="1" pin="3"/>
-</segment>
-</net>
-<net name="T+B" class="0">
-<segment>
-<pinref part="J1" gate="G$1" pin="3"/>
-<wire x1="213.36" y1="172.72" x2="203.2" y2="172.72" width="0.1524" layer="91"/>
-<wire x1="203.2" y1="172.72" x2="203.2" y2="152.4" width="0.1524" layer="91"/>
-<pinref part="JP4" gate="1" pin="1"/>
-<label x="213.36" y="172.72" size="1.778" layer="95" rot="MR0"/>
-</segment>
-</net>
-<net name="T-A" class="0">
-<segment>
-<pinref part="J1" gate="G$1" pin="2"/>
-<wire x1="213.36" y1="170.18" x2="208.28" y2="170.18" width="0.1524" layer="91"/>
-<wire x1="208.28" y1="170.18" x2="208.28" y2="200.66" width="0.1524" layer="91"/>
-<label x="213.36" y="170.18" size="1.778" layer="95" rot="MR0"/>
-<pinref part="JP3" gate="1" pin="1"/>
-</segment>
-</net>
-<net name="N$36" class="0">
-<segment>
-<pinref part="JP4" gate="1" pin="2"/>
-<wire x1="205.74" y1="152.4" x2="205.74" y2="154.94" width="0.1524" layer="91"/>
-<pinref part="JP5" gate="1" pin="2"/>
-<wire x1="205.74" y1="154.94" x2="187.96" y2="154.94" width="0.1524" layer="91"/>
-</segment>
-</net>
-<net name="N$37" class="0">
-<segment>
-<pinref part="JP3" gate="1" pin="2"/>
-<wire x1="205.74" y1="200.66" x2="205.74" y2="198.12" width="0.1524" layer="91"/>
-<wire x1="205.74" y1="198.12" x2="187.96" y2="198.12" width="0.1524" layer="91"/>
-<pinref part="JP6" gate="1" pin="2"/>
-</segment>
-</net>
-<net name="R-" class="0">
-<segment>
-<pinref part="J1" gate="G$1" pin="5"/>
-<wire x1="213.36" y1="177.8" x2="200.66" y2="177.8" width="0.1524" layer="91"/>
-<wire x1="200.66" y1="177.8" x2="200.66" y2="182.88" width="0.1524" layer="91"/>
-<pinref part="JP7" gate="1" pin="2"/>
-<wire x1="200.66" y1="182.88" x2="187.96" y2="182.88" width="0.1524" layer="91"/>
-<label x="213.36" y="177.8" size="1.778" layer="95" rot="MR0"/>
-</segment>
-</net>
-<net name="R+" class="0">
-<segment>
-<pinref part="J1" gate="G$1" pin="4"/>
-<wire x1="213.36" y1="175.26" x2="200.66" y2="175.26" width="0.1524" layer="91"/>
-<wire x1="200.66" y1="175.26" x2="200.66" y2="170.18" width="0.1524" layer="91"/>
-<pinref part="JP8" gate="1" pin="2"/>
-<wire x1="200.66" y1="170.18" x2="187.96" y2="170.18" width="0.1524" layer="91"/>
-<label x="213.36" y="175.26" size="1.778" layer="95" rot="MR0"/>
-</segment>
-</net>
<net name="N$40" class="0">
<segment>
-<pinref part="JP6" gate="1" pin="3"/>
-<wire x1="187.96" y1="200.66" x2="170.18" y2="200.66" width="0.1524" layer="91"/>
-<pinref part="JP8" gate="1" pin="1"/>
-<wire x1="187.96" y1="167.64" x2="170.18" y2="167.64" width="0.1524" layer="91"/>
-<wire x1="170.18" y1="167.64" x2="170.18" y2="200.66" width="0.1524" layer="91"/>
-<pinref part="U$2" gate="1:1" pin="P$6"/>
<wire x1="170.18" y1="200.66" x2="162.56" y2="200.66" width="0.1524" layer="91"/>
-</segment>
-</net>
-<net name="N$42" class="0">
-<segment>
-<pinref part="JP8" gate="1" pin="3"/>
-<wire x1="187.96" y1="172.72" x2="172.72" y2="172.72" width="0.1524" layer="91"/>
-<wire x1="172.72" y1="172.72" x2="172.72" y2="195.58" width="0.1524" layer="91"/>
-<pinref part="JP6" gate="1" pin="1"/>
-<wire x1="172.72" y1="195.58" x2="187.96" y2="195.58" width="0.1524" layer="91"/>
-<pinref part="U$2" gate="2:1" pin="P$6"/>
-<wire x1="172.72" y1="172.72" x2="162.56" y2="172.72" width="0.1524" layer="91"/>
-<junction x="172.72" y="172.72"/>
-</segment>
-</net>
-<net name="N$32" class="0">
-<segment>
-<pinref part="JP7" gate="1" pin="3"/>
-<wire x1="187.96" y1="185.42" x2="175.26" y2="185.42" width="0.1524" layer="91"/>
-<wire x1="175.26" y1="185.42" x2="175.26" y2="152.4" width="0.1524" layer="91"/>
-<pinref part="JP5" gate="1" pin="1"/>
-<wire x1="175.26" y1="152.4" x2="187.96" y2="152.4" width="0.1524" layer="91"/>
-<pinref part="U$2" gate="1:1" pin="P$4"/>
-<wire x1="175.26" y1="185.42" x2="162.56" y2="185.42" width="0.1524" layer="91"/>
-<junction x="175.26" y="185.42"/>
-</segment>
-</net>
-<net name="N$33" class="0">
-<segment>
-<pinref part="JP7" gate="1" pin="1"/>
-<wire x1="187.96" y1="180.34" x2="177.8" y2="180.34" width="0.1524" layer="91"/>
-<wire x1="177.8" y1="180.34" x2="177.8" y2="157.48" width="0.1524" layer="91"/>
-<pinref part="JP5" gate="1" pin="3"/>
-<wire x1="177.8" y1="157.48" x2="187.96" y2="157.48" width="0.1524" layer="91"/>
-<pinref part="U$2" gate="2:1" pin="P$4"/>
-<wire x1="177.8" y1="157.48" x2="162.56" y2="157.48" width="0.1524" layer="91"/>
-<junction x="177.8" y="157.48"/>
+<pinref part="U$2" gate="1:1" pin="P$6"/>
+<wire x1="170.18" y1="200.66" x2="170.18" y2="193.04" width="0.1524" layer="91"/>
+<pinref part="J2" gate="-1" pin="5"/>
+<wire x1="170.18" y1="193.04" x2="187.96" y2="193.04" width="0.1524" layer="91"/>
+<pinref part="J2" gate="-2" pin="2"/>
+<wire x1="187.96" y1="193.04" x2="198.12" y2="193.04" width="0.1524" layer="91"/>
+<wire x1="187.96" y1="160.02" x2="198.12" y2="160.02" width="0.1524" layer="91"/>
+<wire x1="187.96" y1="193.04" x2="187.96" y2="160.02" width="0.1524" layer="91"/>
+<junction x="187.96" y="193.04"/>
</segment>
</net>
<net name="N$19" class="0">
<segment>
-<pinref part="U$1" gate="G$3" pin="/INT"/>
+<pinref part="U$1" gate="G$3" pin="!INT"/>
<pinref part="JP1" gate="A" pin="4"/>
-<wire x1="38.1" y1="91.44" x2="17.78" y2="91.44" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="83.82" x2="17.78" y2="83.82" width="0.1524" layer="91"/>
</segment>
</net>
<net name="N$20" class="0">
<segment>
-<pinref part="U$1" gate="G$3" pin="/CS"/>
+<pinref part="U$1" gate="G$3" pin="!CS"/>
<pinref part="JP1" gate="A" pin="6"/>
-<wire x1="38.1" y1="88.9" x2="17.78" y2="88.9" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="81.28" x2="17.78" y2="81.28" width="0.1524" layer="91"/>
</segment>
</net>
<net name="N$21" class="0">
<segment>
-<pinref part="U$1" gate="G$3" pin="SDO//ACK/RDY"/>
+<pinref part="U$1" gate="G$3" pin="SDO/!ACK/RDY"/>
<pinref part="JP1" gate="A" pin="8"/>
-<wire x1="38.1" y1="86.36" x2="17.78" y2="86.36" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="78.74" x2="17.78" y2="78.74" width="0.1524" layer="91"/>
</segment>
</net>
<net name="N$22" class="0">
<segment>
<pinref part="U$1" gate="G$3" pin="SDI/WR/RW"/>
-<wire x1="38.1" y1="78.74" x2="35.56" y2="78.74" width="0.1524" layer="91"/>
-<wire x1="35.56" y1="78.74" x2="35.56" y2="76.2" width="0.1524" layer="91"/>
-<wire x1="35.56" y1="76.2" x2="2.54" y2="76.2" width="0.1524" layer="91"/>
-<wire x1="2.54" y1="76.2" x2="2.54" y2="83.82" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="71.12" x2="35.56" y2="71.12" width="0.1524" layer="91"/>
+<wire x1="35.56" y1="71.12" x2="35.56" y2="68.58" width="0.1524" layer="91"/>
+<wire x1="35.56" y1="68.58" x2="2.54" y2="68.58" width="0.1524" layer="91"/>
+<wire x1="2.54" y1="68.58" x2="2.54" y2="76.2" width="0.1524" layer="91"/>
<pinref part="JP1" gate="A" pin="9"/>
-<wire x1="2.54" y1="83.82" x2="10.16" y2="83.82" width="0.1524" layer="91"/>
+<wire x1="2.54" y1="76.2" x2="10.16" y2="76.2" width="0.1524" layer="91"/>
</segment>
</net>
<net name="N$18" class="0">
<segment>
<pinref part="U$4" gate="G$1" pin="OUT"/>
-<wire x1="88.9" y1="78.74" x2="83.82" y2="78.74" width="0.1524" layer="91"/>
+<wire x1="88.9" y1="71.12" x2="83.82" y2="71.12" width="0.1524" layer="91"/>
<pinref part="U$1" gate="G$6" pin="MCLK"/>
-<wire x1="83.82" y1="91.44" x2="78.74" y2="91.44" width="0.1524" layer="91"/>
-<wire x1="83.82" y1="78.74" x2="83.82" y2="91.44" width="0.1524" layer="91"/>
+<wire x1="83.82" y1="83.82" x2="78.74" y2="83.82" width="0.1524" layer="91"/>
+<wire x1="83.82" y1="71.12" x2="83.82" y2="83.82" width="0.1524" layer="91"/>
<pinref part="U$3" gate="G$1" pin="OUT"/>
-<wire x1="88.9" y1="91.44" x2="83.82" y2="91.44" width="0.1524" layer="91"/>
-<junction x="83.82" y="91.44"/>
+<wire x1="88.9" y1="83.82" x2="83.82" y2="83.82" width="0.1524" layer="91"/>
+<junction x="83.82" y="83.82"/>
<pinref part="JP10" gate="A" pin="1"/>
-<wire x1="83.82" y1="78.74" x2="83.82" y2="66.04" width="0.1524" layer="91"/>
-<junction x="83.82" y="78.74"/>
-</segment>
-</net>
-<net name="N$24" class="0">
-<segment>
-<pinref part="U$3" gate="G$1" pin="VCC"/>
-<pinref part="C6" gate="G$1" pin="1"/>
-<pinref part="U$4" gate="G$1" pin="VCC"/>
-<pinref part="C7" gate="G$1" pin="2"/>
-<wire x1="109.22" y1="91.44" x2="119.38" y2="91.44" width="0.1524" layer="91"/>
-<wire x1="109.22" y1="78.74" x2="119.38" y2="78.74" width="0.1524" layer="91"/>
-<wire x1="109.22" y1="91.44" x2="109.22" y2="83.82" width="0.1524" layer="91"/>
-<junction x="109.22" y="91.44"/>
-<junction x="109.22" y="78.74"/>
-<junction x="109.22" y="83.82"/>
-<wire x1="109.22" y1="83.82" x2="109.22" y2="78.74" width="0.1524" layer="91"/>
+<wire x1="83.82" y1="71.12" x2="83.82" y2="93.98" width="0.1524" layer="91"/>
+<junction x="83.82" y="71.12"/>
</segment>
</net>
<net name="N$35" class="0">
<segment>
<pinref part="U$3" gate="G$1" pin="E/D"/>
-<wire x1="109.22" y1="93.98" x2="116.84" y2="93.98" width="0.1524" layer="91"/>
-<wire x1="116.84" y1="93.98" x2="116.84" y2="68.58" width="0.1524" layer="91"/>
+<wire x1="109.22" y1="86.36" x2="116.84" y2="86.36" width="0.1524" layer="91"/>
+<wire x1="116.84" y1="86.36" x2="116.84" y2="60.96" width="0.1524" layer="91"/>
<pinref part="JP9" gate="1" pin="3"/>
</segment>
</net>
<net name="N$38" class="0">
<segment>
<pinref part="U$4" gate="G$1" pin="E/D"/>
-<wire x1="109.22" y1="76.2" x2="111.76" y2="76.2" width="0.1524" layer="91"/>
-<wire x1="111.76" y1="76.2" x2="111.76" y2="68.58" width="0.1524" layer="91"/>
+<wire x1="109.22" y1="68.58" x2="111.76" y2="68.58" width="0.1524" layer="91"/>
+<wire x1="111.76" y1="68.58" x2="111.76" y2="60.96" width="0.1524" layer="91"/>
<pinref part="JP9" gate="1" pin="1"/>
</segment>
</net>
@@ -7988,12 +7990,99 @@ SMD chip inductor</description>
<segment>
<pinref part="U$1" gate="G$3" pin="SCLK/ALE/AS"/>
<pinref part="JP1" gate="A" pin="10"/>
-<wire x1="38.1" y1="83.82" x2="17.78" y2="83.82" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="76.2" x2="17.78" y2="76.2" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="N$34" class="0">
+<segment>
+<pinref part="J2" gate="-2" pin="1"/>
+<wire x1="185.42" y1="157.48" x2="198.12" y2="157.48" width="0.1524" layer="91"/>
+<pinref part="U$2" gate="1:1" pin="P$4"/>
+<wire x1="170.18" y1="185.42" x2="162.56" y2="185.42" width="0.1524" layer="91"/>
+<wire x1="170.18" y1="185.42" x2="170.18" y2="190.5" width="0.1524" layer="91"/>
+<pinref part="J2" gate="-1" pin="4"/>
+<wire x1="170.18" y1="190.5" x2="185.42" y2="190.5" width="0.1524" layer="91"/>
+<wire x1="185.42" y1="190.5" x2="198.12" y2="190.5" width="0.1524" layer="91"/>
+<wire x1="185.42" y1="157.48" x2="185.42" y2="190.5" width="0.1524" layer="91"/>
+<junction x="185.42" y="190.5"/>
+</segment>
+</net>
+<net name="N$32" class="0">
+<segment>
+<pinref part="J2" gate="-1" pin="2"/>
+<wire x1="175.26" y1="185.42" x2="198.12" y2="185.42" width="0.1524" layer="91"/>
+<pinref part="U$2" gate="2:1" pin="P$6"/>
+<wire x1="170.18" y1="172.72" x2="162.56" y2="172.72" width="0.1524" layer="91"/>
+<wire x1="170.18" y1="172.72" x2="170.18" y2="167.64" width="0.1524" layer="91"/>
+<pinref part="J2" gate="-2" pin="5"/>
+<wire x1="170.18" y1="167.64" x2="175.26" y2="167.64" width="0.1524" layer="91"/>
+<wire x1="175.26" y1="167.64" x2="198.12" y2="167.64" width="0.1524" layer="91"/>
+<wire x1="175.26" y1="185.42" x2="175.26" y2="167.64" width="0.1524" layer="91"/>
+<junction x="175.26" y="167.64"/>
+</segment>
+</net>
+<net name="N$36" class="0">
+<segment>
+<pinref part="J2" gate="-1" pin="1"/>
+<wire x1="177.8" y1="182.88" x2="198.12" y2="182.88" width="0.1524" layer="91"/>
+<pinref part="U$2" gate="2:1" pin="P$4"/>
+<wire x1="170.18" y1="157.48" x2="162.56" y2="157.48" width="0.1524" layer="91"/>
+<wire x1="170.18" y1="157.48" x2="170.18" y2="165.1" width="0.1524" layer="91"/>
+<pinref part="J2" gate="-2" pin="4"/>
+<wire x1="170.18" y1="165.1" x2="177.8" y2="165.1" width="0.1524" layer="91"/>
+<wire x1="177.8" y1="165.1" x2="198.12" y2="165.1" width="0.1524" layer="91"/>
+<wire x1="177.8" y1="182.88" x2="177.8" y2="165.1" width="0.1524" layer="91"/>
+<junction x="177.8" y="165.1"/>
+</segment>
+</net>
+<net name="VIN" class="1">
+<segment>
+<pinref part="U$6" gate="G$1" pin="EN"/>
+<wire x1="167.64" y1="76.2" x2="165.1" y2="76.2" width="0.1524" layer="91"/>
+<wire x1="165.1" y1="76.2" x2="165.1" y2="81.28" width="0.1524" layer="91"/>
+<pinref part="U$6" gate="G$1" pin="IN"/>
+<wire x1="167.64" y1="81.28" x2="165.1" y2="81.28" width="0.1524" layer="91"/>
+<junction x="165.1" y="81.28"/>
+<wire x1="165.1" y1="81.28" x2="162.56" y2="81.28" width="0.1524" layer="91"/>
+<pinref part="JP1" gate="A" pin="5"/>
+<wire x1="10.16" y1="81.28" x2="0" y2="81.28" width="0.1524" layer="91"/>
+<wire x1="0" y1="81.28" x2="0" y2="78.74" width="0.1524" layer="91"/>
+<wire x1="0" y1="78.74" x2="0" y2="50.8" width="0.1524" layer="91"/>
+<wire x1="0" y1="50.8" x2="12.7" y2="50.8" width="0.1524" layer="91"/>
+<wire x1="12.7" y1="50.8" x2="162.56" y2="50.8" width="0.1524" layer="91"/>
+<wire x1="162.56" y1="50.8" x2="162.56" y2="81.28" width="0.1524" layer="91"/>
+<pinref part="JP1" gate="A" pin="7"/>
+<wire x1="10.16" y1="78.74" x2="0" y2="78.74" width="0.1524" layer="91"/>
+<junction x="0" y="78.74"/>
+<pinref part="C10" gate="G$1" pin="1"/>
+<wire x1="12.7" y1="55.88" x2="12.7" y2="50.8" width="0.1524" layer="91"/>
+<junction x="12.7" y="50.8"/>
+</segment>
+</net>
+<net name="N$37" class="0">
+<segment>
+<pinref part="U$6" gate="G$1" pin="NR"/>
+<pinref part="C8" gate="G$1" pin="1"/>
+<wire x1="190.5" y1="73.66" x2="193.04" y2="73.66" width="0.1524" layer="91"/>
</segment>
</net>
</nets>
</sheet>
</sheets>
+<errors>
+<approved hash="104,1,78.74,127,U$1G$5,GNDT,GND,,,"/>
+<approved hash="104,1,78.74,129.54,U$1G$5,GNDA,GND,,,"/>
+<approved hash="104,1,78.74,137.16,U$1G$5,VDDD,VDD,,,"/>
+<approved hash="104,1,78.74,139.7,U$1G$5,VDDT,VDD,,,"/>
+<approved hash="104,1,78.74,124.46,U$1G$5,GNDD,GND,,,"/>
+<approved hash="104,1,78.74,142.24,U$1G$5,VDDA,N$23,,,"/>
+<approved hash="104,1,78.74,134.62,U$1G$5,VDDIO,VDD,,,"/>
+<approved hash="104,1,78.74,121.92,U$1G$5,GNDIO,GND,,,"/>
+<approved hash="104,1,109.22,83.82,U$3,VCC,VDD,,,"/>
+<approved hash="104,1,109.22,71.12,U$4,VCC,VDD,,,"/>
+<approved hash="205,1,88.9,71.12,N$18,,,,,"/>
+<approved hash="205,1,88.9,83.82,N$18,,,,,"/>
+</errors>
</schematic>
</drawing>
</eagle>
diff --git a/hardware/eagle/laforge.lbr b/hardware/eagle/laforge.lbr
index 9dee488..a48cd63 100644
--- a/hardware/eagle/laforge.lbr
+++ b/hardware/eagle/laforge.lbr
@@ -6,7 +6,7 @@
<setting alwaysvectorfont="no"/>
<setting verticaltext="up"/>
</settings>
-<grid distance="0.05" unitdist="inch" unit="inch" style="lines" multiple="1" display="yes" altdistance="0.025" altunitdist="inch" altunit="inch"/>
+<grid distance="0.1" unitdist="inch" unit="inch" style="lines" multiple="1" display="yes" altdistance="0.01" altunitdist="inch" altunit="inch"/>
<layers>
<layer number="1" name="Top" color="4" fill="1" visible="yes" active="yes"/>
<layer number="16" name="Bottom" color="1" fill="1" visible="yes" active="yes"/>
@@ -449,6 +449,27 @@ package type TQ</description>
<wire x1="3.2" y1="-1.55" x2="-3.2" y2="-1.55" width="0.127" layer="51"/>
<wire x1="-3.2" y1="-1.55" x2="-3.2" y2="1.55" width="0.127" layer="51"/>
</package>
+<package name="SOT223-6">
+<description>SOT223-6 ass found in TPS736xx data sheet</description>
+<wire x1="-3.25" y1="1.75" x2="3.25" y2="1.75" width="0.127" layer="21"/>
+<wire x1="-3.25" y1="-1.75" x2="3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="-3.25" y1="1.75" x2="-3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="3.25" y1="1.75" x2="3.25" y2="-1.75" width="0.127" layer="21"/>
+<wire x1="-2.54" y1="-1.8" x2="-2.54" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="-1.8" x2="-1.27" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="0" y1="-1.8" x2="0" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="1.27" y1="-1.8" x2="1.27" y2="-2.5" width="0.4064" layer="21"/>
+<wire x1="2.54" y1="-1.8" x2="2.54" y2="-2.5" width="0.4064" layer="21"/>
+<smd name="3" x="0" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="2" x="-1.27" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="1" x="-2.54" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="4" x="1.27" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="5" x="2.54" y="-2.7" dx="2.15" dy="0.55" layer="1" rot="R90"/>
+<smd name="6" x="0" y="3" dx="3.6" dy="2.2" layer="1"/>
+<text x="-3.54" y="0.0508" size="1.27" layer="25">&gt;NAME</text>
+<text x="-3.54" y="-1.3208" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.6002" y1="1.8034" x2="1.6002" y2="3.6576" layer="51"/>
+</package>
</packages>
<symbols>
<symbol name="NCN8025">
@@ -608,11 +629,11 @@ package type TQ</description>
<text x="-2.54" y="10.16" size="1.27" layer="94">&gt;VALUE</text>
</symbol>
<symbol name="IDT82V2081_SERIAL">
-<pin name="/INT" x="-12.7" y="12.7" length="middle" direction="out"/>
-<pin name="/CS" x="-12.7" y="10.16" length="middle" direction="in"/>
-<pin name="SDO//ACK/RDY" x="-12.7" y="7.62" length="middle" direction="out"/>
+<pin name="!INT" x="-12.7" y="12.7" length="middle" direction="out"/>
+<pin name="!CS" x="-12.7" y="10.16" length="middle" direction="in"/>
+<pin name="SDO/!ACK/RDY" x="-12.7" y="7.62" length="middle" direction="out"/>
<pin name="SCLK/ALE/AS" x="-12.7" y="5.08" length="middle" direction="in"/>
-<pin name="/RD/DS/SCLKE" x="-12.7" y="2.54" length="middle" direction="in"/>
+<pin name="!RD/DS/SCLKE" x="-12.7" y="2.54" length="middle" direction="in"/>
<pin name="SDI/WR/RW" x="-12.7" y="0" length="middle" direction="in"/>
<wire x1="-2.54" y1="17.78" x2="-7.62" y2="17.78" width="0.254" layer="94"/>
<wire x1="-7.62" y1="17.78" x2="-7.62" y2="-5.08" width="0.254" layer="94"/>
@@ -634,7 +655,7 @@ package type TQ</description>
<pin name="JA0" x="-10.16" y="17.78" length="middle" direction="in" rot="R270"/>
<pin name="JA1" x="-7.62" y="17.78" length="middle" direction="in" rot="R270"/>
<pin name="THZ" x="-25.4" y="17.78" length="middle" direction="in" rot="R270"/>
-<pin name="/RST" x="-17.78" y="17.78" length="middle" direction="in" rot="R270"/>
+<pin name="!RST" x="-17.78" y="17.78" length="middle" direction="in" rot="R270"/>
<pin name="RCLKE" x="-15.24" y="17.78" length="middle" direction="in" rot="R270"/>
<wire x1="27.94" y1="7.62" x2="27.94" y2="12.7" width="0.254" layer="94"/>
<wire x1="27.94" y1="12.7" x2="-33.02" y2="12.7" width="0.254" layer="94"/>
@@ -645,7 +666,7 @@ package type TQ</description>
<pin name="GNDA" x="10.16" y="-7.62" length="middle" direction="pwr" rot="R180"/>
<pin name="VDDD" x="10.16" y="0" length="middle" direction="pwr" rot="R180"/>
<pin name="VDDT" x="10.16" y="2.54" length="middle" direction="pwr" rot="R180"/>
-<pin name="REF" x="10.16" y="10.16" length="middle" direction="pwr" rot="R180"/>
+<pin name="REF" x="10.16" y="10.16" length="middle" direction="in" rot="R180"/>
<pin name="GNDD" x="10.16" y="-12.7" length="middle" direction="pwr" rot="R180"/>
<pin name="VDDA" x="10.16" y="5.08" length="middle" direction="pwr" rot="R180"/>
<wire x1="0" y1="-17.78" x2="5.08" y2="-17.78" width="0.254" layer="94"/>
@@ -818,6 +839,21 @@ package type TQ</description>
<wire x1="5.08" y1="-7.62" x2="5.08" y2="-9.398" width="0.4064" layer="94"/>
<wire x1="3.302" y1="-7.62" x2="5.08" y2="-7.62" width="0.1524" layer="94"/>
</symbol>
+<symbol name="LDO_EN">
+<wire x1="-7.62" y1="5.08" x2="10.16" y2="5.08" width="0.254" layer="94"/>
+<wire x1="10.16" y1="5.08" x2="10.16" y2="-7.62" width="0.254" layer="94"/>
+<wire x1="10.16" y1="-7.62" x2="-7.62" y2="-7.62" width="0.254" layer="94"/>
+<wire x1="-7.62" y1="-7.62" x2="-7.62" y2="5.08" width="0.254" layer="94"/>
+<text x="-0.762" y="-6.604" size="1.524" layer="95">GND</text>
+<text x="-7.62" y="6.35" size="1.778" layer="95">&gt;NAME</text>
+<text x="2.54" y="6.35" size="1.778" layer="96">&gt;VALUE</text>
+<pin name="IN" x="-10.16" y="2.54" length="short" direction="in"/>
+<pin name="OUT" x="12.7" y="2.54" length="short" direction="pas" rot="R180"/>
+<pin name="EN" x="-10.16" y="-2.54" length="short" direction="in"/>
+<pin name="GND" x="0" y="-10.16" visible="pad" length="short" direction="pwr" rot="R90"/>
+<pin name="NR" x="12.7" y="-5.08" length="short" direction="pas" rot="R180"/>
+<pin name="GND1" x="2.54" y="-10.16" visible="pad" length="short" direction="pwr" rot="R90"/>
+</symbol>
</symbols>
<devicesets>
<deviceset name="NCN8025">
@@ -923,13 +959,13 @@ package type TQ</description>
<connect gate="G$2" pin="RTIP" pad="41"/>
<connect gate="G$2" pin="TRING" pad="36"/>
<connect gate="G$2" pin="TTIP" pad="37"/>
-<connect gate="G$3" pin="/CS" pad="21"/>
-<connect gate="G$3" pin="/INT" pad="20"/>
-<connect gate="G$3" pin="/RD/DS/SCLKE" pad="22"/>
+<connect gate="G$3" pin="!CS" pad="21"/>
+<connect gate="G$3" pin="!INT" pad="20"/>
+<connect gate="G$3" pin="!RD/DS/SCLKE" pad="22"/>
<connect gate="G$3" pin="SCLK/ALE/AS" pad="25"/>
<connect gate="G$3" pin="SDI/WR/RW" pad="24"/>
-<connect gate="G$3" pin="SDO//ACK/RDY" pad="23"/>
-<connect gate="G$4" pin="/RST" pad="12"/>
+<connect gate="G$3" pin="SDO/!ACK/RDY" pad="23"/>
+<connect gate="G$4" pin="!RST" pad="12"/>
<connect gate="G$4" pin="EQ/AD3" pad="29"/>
<connect gate="G$4" pin="JA0" pad="14"/>
<connect gate="G$4" pin="JA1" pad="15"/>
@@ -1035,6 +1071,27 @@ MNR35 (1206x5 size)</description>
</device>
</devices>
</deviceset>
+<deviceset name="TPS736XX">
+<description>TI Cap-Free NMOS 400mA LDO</description>
+<gates>
+<gate name="G$1" symbol="LDO_EN" x="0" y="0"/>
+</gates>
+<devices>
+<device name="" package="SOT223-6">
+<connects>
+<connect gate="G$1" pin="EN" pad="5"/>
+<connect gate="G$1" pin="GND" pad="3"/>
+<connect gate="G$1" pin="GND1" pad="6"/>
+<connect gate="G$1" pin="IN" pad="1"/>
+<connect gate="G$1" pin="NR" pad="4"/>
+<connect gate="G$1" pin="OUT" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+</devices>
+</deviceset>
</devicesets>
</library>
</drawing>