From 16c3d2691c9871a402511360fab720444f7b662f Mon Sep 17 00:00:00 2001 From: ptrkrysik Date: Mon, 15 Dec 2014 09:05:31 +0100 Subject: Added example of usage of new experimental blocks (components for a new receiver) --- examples/experimental_receiver_components_test.grc | 106 ++------------------- 1 file changed, 6 insertions(+), 100 deletions(-) (limited to 'examples') diff --git a/examples/experimental_receiver_components_test.grc b/examples/experimental_receiver_components_test.grc index c733858..2e4f929 100644 --- a/examples/experimental_receiver_components_test.grc +++ b/examples/experimental_receiver_components_test.grc @@ -65,33 +65,6 @@ 0 - - variable - - id - samp_rate2 - - - _enabled - True - - - value - 13e6/12 - - - alias - - - - _coordinate - (21, 245) - - - _rotation - 0 - - variable @@ -120,93 +93,26 @@ - blocks_null_sink - - id - blocks_null_sink_0 - - - _enabled - False - - - type - complex - - - vlen - 1 - - - num_inputs - 1 - - - bus_conns - [[0,],] - - - alias - - - - affinity - - - - _coordinate - (927, 140) - - - _rotation - 0 - - - - blocks_tag_debug + variable id - blocks_tag_debug_0 + samp_rate2 _enabled - False - - - type - complex - - - name - - - - filter - "" - - - num_inputs - 1 - - - vlen - 1 - - - display True - alias - + value + 13e6/12 - affinity + alias _coordinate - (1012, 103) + (21, 245) _rotation -- cgit v1.2.3